Intel LOGO

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example

အမြန်စတင်လမ်းညွှန်

Intel Agilex™ အတွက် Triple-Speed ​​Ethernet Intel® FPGA IP သည် ဒီဇိုင်းဟောင်းကို ထုတ်ပေးနိုင်စွမ်းကို ပေးဆောင်သည်။ampရွေးချယ်ထားသော ဖွဲ့စည်းမှုများအတွက် les၊

  • IP ဧရိယာအသုံးပြုမှုနှင့် အချိန်အချိန်ကို ခန့်မှန်းရန် ဒီဇိုင်းကို စုစည်းပါ။
  • အိုင်ပီ၏လုပ်ဆောင်နိုင်စွမ်းကို သရုပ်ဖော်ခြင်းဖြင့် အတည်ပြုရန် ဒီဇိုင်းကို အတုယူပါ။
  • Intel Agilex I-Series Transceiver-SoC Development Kit ကို အသုံးပြု၍ ဟာ့ဒ်ဝဲပေါ်တွင် ဒီဇိုင်းကို စမ်းသပ်ပါ။
  • ဒီဇိုင်းထုတ်တဲ့အခါ exampparameter editor သည် အလိုအလျောက် ဖန်တီးပေးပါသည်။ fileဟာ့ဒ်ဝဲတွင် ဒီဇိုင်းကို ပုံဖော်ရန်၊ စုစည်းရန်နှင့် စမ်းသပ်ရန် လိုအပ်သည်။

မှတ်ချက်: ဟာ့ဒ်ဝဲပံ့ပိုးမှုကို Intel Quartus® Prime Pro Edition Software ဗားရှင်း 22.3 တွင် လောလောဆယ် မရရှိနိုင်ပါ။

ဖြိုး StagDesign Ex အတွက် esampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

မှတ်ချက်− Intel Quartus Prime Pro Edition Software ဗားရှင်း 22.3 တွင်၊ ဒီဇိုင်းဟောင်းတွင် သရုပ်ဖော်မှုချို့ယွင်းမှုကို ရှောင်ရှားရန် patch တစ်ခု လိုအပ်သည်ampလဲ့ ပိုမိုသိရှိလိုပါက၊ KDB လင့်ခ်ကို ကိုးကားပါ- Triple-Speed ​​Ethernet Intel FPGA IP Multiport Design Ex အတွက် ဘာကြောင့် စီစဥ်ခြင်း မအောင်မြင်တာလဲ။ample?။

ဆက်စပ်အချက်အလက်
Triple-Speed ​​Ethernet Intel® FPGA IP Multiport Design Ex အတွက် သရုပ်ပြခြင်း အဘယ်ကြောင့် မအောင်မြင်သနည်း။ample?။

လမ်းညွှန်ဖွဲ့စည်းပုံ

Triple-Speed ​​Ethernet Intel FPGA IP ဒီဇိုင်းဟောင်းample file လမ်းညွှန်များထဲတွင် အောက်ပါအတိုင်း ထုတ်ပေးပါသည်။ file10/100/1000 Multiport Ethernet MAC Design Ex အတွက် sample နှင့်အတူ 1000BASE-X/SGMII PCS နှင့် Embedded PMA

  • ဟာ့ဒ်ဝဲဖွဲ့စည်းပုံနှင့်စမ်းသပ်မှု files (ဟာ့ဒ်ဝဲ ဒီဇိုင်း ဥပမာample) တွင်တည်ရှိသည်။ample_dir>/hardware_test_design
  • သရုပ်သကန် files (testbench for simulation only) တွင် တည်ရှိသည်။ample_dir>/example_testbench
  • စုစည်းမှု-သပ်သပ် ဒီဇိုင်းဟောင်းample တွင်တည်ရှိသည်။ample_dir>/ compilation_test_design
  • compilation test နှင့် hardware test ဒီဇိုင်းများကို အသုံးပြုသည်။ files အတွက်ample_dir>/ex_tse/ဘုံ။

Design Ex အတွက် Directory Structureampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

ဇယား 1။ Triple-Speed ​​Ethernet Intel FPGA IP Testbench File ဖော်ပြချက်

လမ်းညွှန်/File ဖော်ပြချက်
Testbench နှင့် Simulation Files
<design_example_dir>/example_testbench/ အခြေခံ_avl_tb_top_mac_pcs.sv ထိပ်တန်းအဆင့်စမ်းသပ်ခုံ file. testbench သည် DUT ကို ချက်ချင်းလက်ငင်းလုပ်ဆောင်ပြီး packet များကိုထုတ်လုပ်ရန်နှင့် လက်ခံရန်အတွက် Verilog HDL လုပ်ဆောင်ချက်များကို လုပ်ဆောင်သည်။
Testbench Scripts
<design_example_dir>/example_testbench/ run_vsim_mac_pcs.sh testbench ကို run ရန် ModelSim script
ဆက်ရန်…
လမ်းညွှန်/File ဖော်ပြချက်
<design_example_dir>/example_testbench/ run_vcs_mac_pcs.sh Testbench ကိုလည်ပတ်ရန် Synopsys* VCS script
<design_example_dir>/example_testbench/ run_vcsmx_mac_pcs.sh Testbench ကိုလည်ပတ်ရန်အတွက် Synopsys VCS MX script (Verilog HDL နှင့် System Verilog နှင့် VHDL ပေါင်းစပ်ထားသည်)
<design_example_dir>/example_testbench/ run_xcelium_mac_pcs.sh testbench ကို run ရန် Xcelium* script

ဇယား 2. Triple-Speed ​​Ethernet Intel FPGA IP ဟာ့ဒ်ဝဲ ဒီဇိုင်း Example File ဖော်ပြချက်

လမ်းညွှန်/File ဖော်ပြချက်
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf Intel Quartus Prime ပရောဂျက် file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf Intel Quartus Prime ပရောဂျက် ဆက်တင်များ file.
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc Synopsys ဒီဇိုင်း ကန့်သတ်ချက်များ file၎။ ဒါတွေကို ကူးယူပြီး ပြင်ဆင်နိုင်ပါတယ်။ files သင့်ကိုယ်ပိုင် Intel Stratix® 10 ဒီဇိုင်းအတွက်။
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v ထိပ်တန်းအဆင့် Verilog HDL ဒီဇိုင်းဟောင်းample file.
<design_example_dir>/hardware_test_design/ common/ Hardware ဒီဇိုင်း exampထောက်ခံတယ်။ files.

ဒီဇိုင်းထွကို ထုတ်လုပ်ခြင်း။ample

ဒီဇိုင်း Ex ထုတ်လုပ်ရန် လုပ်ငန်းစဉ်ampleintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

Example Triple-Speed ​​Ethernet Intel FPGA IP Parameter Editor တွင် ဒီဇိုင်းထဘ်intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

ဟာ့ဒ်ဝဲဒီဇိုင်းဟောင်းကို ထုတ်လုပ်ရန် ဤအဆင့်များကို လိုက်နာပါ။ample နှင့် testbench:

  • Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ နှိပ်ပါ။ File ➤ Quartus Prime ပရောဂျက်အသစ်ကိုဖန်တီးရန် New Project Wizard သို့မဟုတ် File ➤ ရှိပြီးသား Quartus Prime ပရောဂျက်ကိုဖွင့်ရန် ပရောဂျက်ကိုဖွင့်ပါ။ wizard သည် သင့်အား စက်ပစ္စည်းတစ်ခုကို သတ်မှတ်ရန် တောင်းဆိုသည်။
  • Intel Agilex စက်ပစ္စည်း မိသားစုကို ရွေးချယ်ပြီး LVDS ပါရှိသည့် စက်ပစ္စည်းကို ရွေးချယ်ပါ။
  • wizard ကိုပိတ်ရန် Finish ကိုနှိပ်ပါ။
  • IP Catalog တွင်၊ Interface Protocol ➤ Ethernet ➤ 1G Multirate ကို ရှာဖွေပြီး ရွေးချယ်ပါ
  • အီသာနက် ➤ Triple-Speed ​​Ethernet Intel FPGA IP။ New IP Variation ဝင်းဒိုး ပေါ်လာသည်။
  • ထိပ်တန်းအဆင့်အမည်ကို သတ်မှတ်ပါ။ သင်၏ စိတ်ကြိုက် IP ကွဲပြားမှုအတွက်။ ကန့်သတ်ချက်တည်းဖြတ်သူသည် IP ကွဲပြားမှု ဆက်တင်များကို a တွင် သိမ်းဆည်းသည်။ file အမည်ရှိ .ip
  • OK ကိုနှိပ်ပါ။ အတိုင်းအတာ တည်းဖြတ်သူများ ပေါ်လာသည်။
  • ဒီဇိုင်းထုတ်ဖို့ example၊ ဒီဇိုင်းဟောင်းကို ရွေးပါ။ampPresets စာကြည့်တိုက်မှ ကြိုတင်သတ်မှတ်ထားပြီး Apply ကိုနှိပ်ပါ။ ဒီဇိုင်းတစ်ခုကို သင်ရွေးချယ်သောအခါ၊ စနစ်သည် ဒီဇိုင်းအတွက် IP ဘောင်များကို အလိုအလျောက်ဖြည့်ပေးပါသည်။ ကန့်သတ်ချက်တည်းဖြတ်သူသည် ဒီဇိုင်းဟောင်းကို ထုတ်လုပ်ရန် လိုအပ်သော ဘောင်များကို အလိုအလျောက် သတ်မှတ်ပေးသည်။ampလဲ့ IP တက်ဘ်ရှိ ကြိုတင်သတ်မှတ်ထားသော ဘောင်များကို မပြောင်းပါနှင့်။
  • Ex အတွက်ample ဒီဇိုင်း Files၊ testbench ကိုထုတ်လုပ်ရန် Simulation option ကိုရွေးချယ်ပါ သို့မဟုတ် ဟာ့ဒ်ဝဲဒီဇိုင်း ex ကိုထုတ်လုပ်ရန် Synthesis ရွေးချယ်မှုampလဲ့
  • မှတ်ချက်- ဒီဇိုင်းဟောင်းကို ထုတ်လုပ်ရန် ရွေးချယ်စရာများထဲမှ အနည်းဆုံးတစ်ခုကို ရွေးချယ်ရပါမည်။ampလဲ့
  • အထွက၊ample Design tab၊ Generated HDL Format အောက်တွင် Verilog HDL သို့မဟုတ် VHDL ကိုရွေးချယ်ပါ။
  • Target Development Kit အောက်တွင်၊ Agilex I-Series Transceiver-SoC Development Kit (AGIB027R31B1E2VR0) ကို ရွေးပါ သို့မဟုတ် None ကို ရွေးပါ။
  • Ex ကိုနှိပ်ပါ။ample ဒီဇိုင်း- “ဥပမာample_design” ကိုနှိပ်ပါ။ အထွကို ရွေးပါ။ample Design Directory window ပေါ်လာသည်။
  • ဒီဇိုင်းကို ပြင်ချင်ရင် exampပြထားသော ပုံသေများမှ le လမ်းညွှန်လမ်းကြောင်း သို့မဟုတ် အမည် (eth_tse_0_example_design) ၊ လမ်းကြောင်းအသစ်ကိုရှာဖွေပြီး ဒီဇိုင်းအသစ် ex ကိုရိုက်ထည့်ပါ။ample လမ်းညွှန်အမည် (ample_dir>)။
  • OK ကိုနှိပ်ပါ။

ဒီဇိုင်းထွample ကန့်သတ်ချက်များ

Ex တွင် ပါရာမီတာများampဒီဇိုင်း Tab

ကန့်သတ်ချက် ဖော်ပြချက်
ဒီဇိုင်းကို ရွေးပါ။ ရနိုင်သော exampIP ကန့်သတ်ချက်များ ဆက်တင်များအတွက် ဒီဇိုင်းဆွဲသည်။
Example ဒီဇိုင်း Files ဟိ files ကွဲပြားခြားနားသောဖွံ့ဖြိုးတိုးတက်မှုအဆင့်အတွက် generate ။

• သရုပ်သကန်—လိုအပ်သည့်အရာများကို ဖန်တီးပေးသည်။ files သည် ex ကို အတုယူရန်ample ဒီဇိုင်း။

• Synthesis—ပေါင်းစပ်မှုကို ထုတ်ပေးသည်။ file၎။ ဒါတွေကိုသုံးပါ။ files ဟာ့ဒ်ဝဲစမ်းသပ်ခြင်းနှင့် တည်ငြိမ်ချိန်ကိုက်ခွဲခြမ်းစိတ်ဖြာခြင်းအတွက် Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင် ဒီဇိုင်းကို စုစည်းရန်။

ထုတ်လုပ်ပါ။ File ပုံစံ RTL ၏ဖော်မတ် fileစီစစ်ခြင်းအတွက် s—Verilog သို့မဟုတ် VHDL။
ဘုတ်ကို ရွေးပါ။ ဒီဇိုင်းအကောင်အထည်ဖော်မှုအတွက် ပံ့ပိုးထားသော ဟာ့ဒ်ဝဲ။ Intel FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့ကို သင်ရွေးချယ်သောအခါ၊ ပစ်မှတ် ကိရိယာ Development Kit ပေါ်ရှိ စက်ပစ္စည်းနှင့် ကိုက်ညီသော အရာဖြစ်သည်။

အကယ်၍ ဤမီနူးကို မရရှိနိုင်ပါက၊ သင်ရွေးချယ်သော ရွေးချယ်စရာများအတွက် ပံ့ပိုးပေးထားသည့် ဘုတ်မရှိပါ။

Agilex I-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit: ဤရွေးချယ်မှုသည် ဒီဇိုင်းဟောင်းကို စမ်းသပ်ရန် ခွင့်ပြုသည်။ampရွေးချယ်ထားသော Intel FPGA IP ဖွံ့ဖြိုးတိုးတက်ရေးကိရိယာအစုံပေါ်တွင် ဤရွေးချယ်မှုသည် အလိုအလျောက် ရွေးချယ်ပေးသည်။ ပစ်မှတ် ကိရိယာ Intel FPGA IP ဖွံ့ဖြိုးတိုးတက်ရေးကိရိယာအစုံရှိ စက်ပစ္စည်းနှင့် ကိုက်ညီရန်။ သင့်ဘုတ်အဖွဲ့ပြန်လည်ပြင်ဆင်မှုတွင် မတူညီသောစက်ပစ္စည်းအဆင့်တစ်ခုရှိပါက၊ ပစ်မှတ်ကိရိယာကို သင်ပြောင်းလဲနိုင်သည်။

တစ်ခုမှ: ဤရွေးချယ်မှုသည် ဒီဇိုင်းဟောင်းအတွက် ဟာ့ဒ်ဝဲရှုထောင့်များကို ဖယ်ထုတ်ထားသည်။ampလဲ့

Triple-Speed ​​Ethernet Intel FPGA IP Design Ex ကို အတုယူခြင်း။ample Testbench

Ex Simulate လုပ်နည်းample Testbenchintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

စမ်းသပ်ခုံတန်းလျားကို အတုယူရန် ဤအဆင့်များကို လိုက်နာပါ-

  • testbench simulation directory သို့ပြောင်းပါ။ample_dir>/ example_testbench
  • သင်နှစ်သက်ရာ ပံ့ပိုးပေးထားသော Simulator အတွက် သရုပ်ပြခြင်း script ကို ဖွင့်ပါ။ ဇာတ်ညွှန်းသည် ပေါင်းစပ်ပြီး စမ်းသပ်ခုံတန်းကို စီစစ်စက်တွင် လုပ်ဆောင်သည်။ Testbench ကို အတုယူရန် အဆင့်များ ဇယားကို ကိုးကားပါ။

Testbench ကို အတုယူရန် အဆင့်များ

Simulator ညွှန်ကြားချက်များ
မော်ဒယ်လ်* command line တွင် vsim -do run_vsim_mac_pcs.do ဟု ရိုက်ထည့်ပါ။ ModelSim GUI ကို မထည့်ဘဲ အတုယူလိုပါက vsim -c -do run_vsim_mac_pcs.do ဟု ရိုက်ထည့်ပါ။
Synopsys VCS*/ VCS MX အမိန့်စာလိုင်းတွင် sh run_vcs_mac_pcs.sh သို့မဟုတ် sh run_vcsmx_mac_pcs.sh ဟု ရိုက်ထည့်ပါ။
Xcelium command line တွင် sh run_xcelium_mac_pcs.sh ဟု ရိုက်ထည့်ပါ။
  • ရလဒ်များကိုခွဲခြမ်းစိတ်ဖြာပါ။ အောင်မြင်သော testbench သည် အထုပ်ဆယ်ထုပ်ကို ပေးပို့သည်၊ တူညီသော packet အရေအတွက်ကို လက်ခံပြီး အောက်ပါမက်ဆေ့ခ်ျကို ပြသသည်

ဒီဇိုင်း Ex ကို စုစည်းခြင်းနှင့် ပြင်ဆင်ခြင်းampHardware မှာ ပါပါတယ်။

ဟာ့ဒ်ဝဲ ဒီဇိုင်းကို စုစည်းရန်ampသင်၏ Intel Agilex စက်ပစ္စည်းပေါ်တွင် ၎င်းကို configure လုပ်ပါ၊ ဤအဆင့်များကို လိုက်နာပါ-

  • ဟာ့ဒ်ဝဲ ဒီဇိုင်းကို သေချာပါစေ။ampမျိုးဆက်ပြီးပါပြီ။
  • Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ Intel Quartus Prime ပရောဂျက်ကိုဖွင့်ပါ။ample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf။
  • Processing menu တွင် Start Compilation ကိုနှိပ်ပါ။
  • အောင်မြင်စွာစုစည်းပြီးနောက် a.sof file တွင်ရရှိနိုင်ပါသည်။ample_dir>/hardwarde_test_design လမ်းညွှန်

10/100/1000 Multiport Ethernet MAC ဒီဇိုင်းထွample နှင့်အတူ 1000BASE-X/SGMII PCS နှင့် Embedded PMA

ဒီဒီဇိုင်း exampTriple-Speed ​​Ethernet IP ကို ​​အသုံးပြု၍ Intel Agilex စက်များအတွက် အီသာနက်ဖြေရှင်းချက်တစ်ခုကို သရုပ်ပြသည်။ Ex မှ ဒီဇိုင်းကို သင်ဖန်တီးနိုင်သည်။ample Triple-Speed ​​Ethernet IP ပါရာမီတာတည်းဖြတ်သူ၏ ဒီဇိုင်း tab။ ဒီဇိုင်းထုတ်ဖို့ exampထို့ကြောင့်၊ သင်၏အဆုံးထုတ်ကုန်တွင်ထုတ်လုပ်ရန်ရည်ရွယ်ထားသော IP ကွဲလွဲမှုအတွက် ပါရာမီတာတန်ဖိုးများကို ဦးစွာသတ်မှတ်ရပါမည်။ ဒီဇိုင်း ex ကိုထုတ်လုပ်ခြင်း။ample သည် IP ၏မိတ္တူကိုဖန်တီးသည်။ testbench နှင့် hardware design exampစမ်းသပ်မှုအောက်ရှိ စက် (DUT) အဖြစ် IP ၏မိတ္တူကို အသုံးပြုပါ။ DUT အတွက် ပါရာမီတာတန်ဖိုးများကို သင့်အဆုံးထုတ်ကုန်ရှိ ပါရာမီတာတန်ဖိုးများနှင့် ကိုက်ညီစေရန် သင်မသတ်မှတ်ပါက၊ ဒီဇိုင်းဟောင်း၊ampသင်ထုတ်လုပ်လိုက်သော IP ကွဲလွဲမှုကို သင်ရည်ရွယ်ထားခြင်းမရှိပေ။

အင်္ဂါရပ်များ

  • ဒီဇိုင်း ex ကို ထုတ်လုပ်သည်။ample အတွက် Triple-Speed ​​Ethernet Multiport Ethernet MAC အတွက် Internal FIFO နှင့် LVDS I/O ပါသော PCS နှင့် Multi-Channel မျှဝေထားသော FIFO ကို အသုံးပြုထားသည်။
  • ပို့လွှတ်လမ်းကြောင်းတွင် အသွားအလာကိုထုတ်ပေးပြီး transceiver LVDS I/O ပြင်ပလှည့်ပတ်မှုမှတဆင့် လက်ခံရရှိထားသောဒေတာကို အတည်ပြုသည်။
  • LVDS I/O မှတဆင့် Tx နှင့် RX အမှတ်စဉ် ပြင်ပလှည့်ပတ်မုဒ်။
  • ပြင်ပလှည့်ပတ်မှုကိုသာ ပံ့ပိုးပေးသည်။
  • Port လေးခုကိုသာ ထောက်ပံ့ပေးသည်။

Hardware နှင့် Software လိုအပ်ချက်များ

  • Intel သည် ဒီဇိုင်းဟောင်းကို စမ်းသပ်ရန် အောက်ပါ ဟာ့ဒ်ဝဲနှင့် ဆော့ဖ်ဝဲလ်ကို အသုံးပြုသည်။ampLinux စနစ်တွင် le-
  • Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲ
  • ModelSim၊ VCS၊ VCS MX နှင့် Xcelium simulators

Functional Descriptionintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

ဒီဇိုင်းအစိတ်အပိုင်းများ

အစိတ်အပိုင်း ဖော်ပြချက်
Triple-Speed ​​Ethernet Intel FPGA IP Triple-Speed ​​Ethernet Intel FPGA IP (altera_eth_tse) ကို အောက်ပါဖွဲ့စည်းပုံဖြင့် ချက်ချင်းလုပ်ဆောင်သည်-

• အဓိကဖွဲ့စည်းပုံများ-

—   Core ကွဲလွဲမှု- 10BASE-X/SGMII PCS ဖြင့် 100/1000/1000Mb Ethernet MAC

—   အတွင်းပိုင်း FIFO ကိုသုံးပါ။: မရွေးချယ်ပါ။

—   ဆိပ်ကမ်းအရေအတွက်: ၂၃၊၀၆

—   Transceiver အမျိုးအစား: LVDS I/O

• MAC ရွေးစရာများ-

—   MAC 10/100 half duplex ပံ့ပိုးမှုကို ဖွင့်ပါ။: ရွေးချယ်ထားသည်။

—   MII/GMII တွင် စက်တွင်းလှည့်ပတ်မှုကို ဖွင့်ပါ။: ရွေးချယ်ထားသည်။

—   ဖြည့်စွက် MAC unicast လိပ်စာများကို ဖွင့်ပါ။: မရွေးချယ်ပါ။

—   စာရင်းအင်းကောင်တာများ ပါဝင်သည်။: ရွေးချယ်ထားသည်။

—   64-ဘစ် စာရင်းအင်း ဘိုက်ကောင်တာများကို ဖွင့်ပါ။: မရွေးချယ်ပါ။

—   Multicast hashable ကို ထည့်သွင်းပါ။: မရွေးချယ်ပါ။

—   ပက်ကေ့ခ်ျခေါင်းစီးများကို 32-bit ဘောင်သို့ ညှိပါ။: မရွေးချယ်ပါ။

—   full-duplex flow control ကိုဖွင့်ပါ။: ရွေးချယ်ထားသည်။

—   VLAN သိရှိခြင်းကို ဖွင့်ပါ။: မရွေးချယ်ပါ။

—   မှော်အထုပ်ရှာဖွေခြင်းကို ဖွင့်ပါ။: ရွေးချယ်ထားသည်။

—   MDIO module (MDC/MDIO) ပါဝင်သည်: ရွေးချယ်ထားသည်။

—   အိမ်ရှင်နာရီ ပိုင်းခြားခြင်း။: ၂၃၊၀၆

• အချိန်ဆုံးamp ရွေးချယ်စရာများ-

—   အကြိမ်အများဆုံးဖွင့်ပါ။amping: မရွေးချယ်ပါ။

• PCS/Transceiver ရွေးစရာများ-

—   SGMII တံတားကိုဖွင့်ပါ။: ရွေးချယ်ထားသည်။

Client Logic IP မှတဆင့် ပေးပို့သော သို့မဟုတ် လက်ခံရရှိသည့် ပက်ကေ့ခ်ျများကို ထုတ်လုပ်ပြီး စောင့်ကြည့်ပါ။
Ethernet Traffic Controller Avalon® memory-mapped interface မှတစ်ဆင့် ထိန်းချုပ်ထားသည်။
JTAG Avalon memory- မြေပုံပြုလုပ်ထားသော အင်တာဖေ့စ်လိပ်စာ ကုဒ်ဒါသို့ J ပြောင်းTAG Avalon မမ်မိုရီ-မြေပုံပြုလုပ်ထားသော အင်တာဖေ့စ်အတွက် အချက်ပြမှုများ။

နာရီနှင့် အချက်ပြမှုများကို ပြန်လည်သတ်မှတ်ပါ။

အချက်ပြ ဦးတည်ချက် အကျယ် ဖော်ပြချက်
ref_clk ထည့်သွင်းခြင်း။ 1 Drives သည် ဝင်ရောက်ခွင့် ရည်ညွှန်းနာရီ နှင့် MAC FIFO အခြေအနေ အင်တာဖေ့စ်နာရီကို မှတ်ပုံတင်သည်။ နာရီကို 100 MHz သို့သတ်မှတ်ပါ။
iopl_refclk ထည့်သွင်းခြင်း။ 1 125 Gbps အမှတ်စဉ် LVDS I/O မျက်နှာပြင်အတွက် 1.25 MHz ရည်ညွှန်းနာရီ။

သရုပ်သကန်

Simulation စမ်းသပ်မှုကိစ္စသည် အောက်ပါအဆင့်များကို လုပ်ဆောင်သည်-

  • ဒီဇိုင်းဟောင်းကို စတင်သည်။amp1G ၏လည်ပတ်မှုအမြန်နှုန်းဖြင့် le။
  • Triple-Speed ​​Ethernet MAC နှင့် PCS မှတ်ပုံတင်မှုများကို စီစဉ်သတ်မှတ်ပေးသည်။
  • တိုင်းတာမှုမှန်ကန်ကြောင်း အချက်ပြမှု အတည်ပြုသည့်အချိန်အထိ စောင့်ပါ။
  • PTP မဟုတ်သော အထုပ်များကို ဆိပ်ကမ်း 0 သို့ ပို့သည်။
  • MAC RX အပေါက် 0 သည် လက်ခံရရှိထားသော ပက်ကတ်များကို MAC TX port 1 သို့ ပို့ပေးသည်။

ခုံတန်းရှည်

Block Diagram of the Design Example Multiport 10/100/1000Mb Ethernet MAC ဖြင့် 1000BASE-X/SGMII PCS ဖြင့် LVDS I/O Simulation Testbenchintel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

VCS Simulator ၏ သရုပ်သကန်စမ်းသပ်မှုရလဒ်intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅ intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example - ၅

Triple-Speed ​​အတွက် Document Revision History Ethernet Intel FPGA IP Intel Agilex ဒီဇိုင်း Example အသုံးပြုသူလမ်းညွှန်

စာရွက်စာတမ်းဗားရှင်း Intel Quartus Prime ဗားရှင်း IP ဗားရှင်း အပြောင်းအလဲများ
2022.12.09 22.3 21.1.0 ကနဦး ထုတ်ဝေမှု။

စာရွက်စာတမ်းများ / အရင်းအမြစ်များ

intel Triple-Speed ​​Ethernet Agilex FPGA IP Design Example [pdf] အသုံးပြုသူလမ်းညွှန်
Triple-Speed ​​Ethernet Agilex FPGA IP ဒီဇိုင်း Example၊ Triple-Speed၊ Ethernet Agilex FPGA IP ဒီဇိုင်း Example၊ IP ဒီဇိုင်းထွample

ကိုးကား

မှတ်ချက်တစ်ခုချန်ထားပါ။

သင့်အီးမေးလ်လိပ်စာကို ထုတ်ပြန်မည်မဟုတ်ပါ။ လိုအပ်သောအကွက်များကို အမှတ်အသားပြုထားသည်။ *