Intel® Quartus® Prime Standard Edition
ဗားရှင်း 22.1std ဆော့ဖ်ဝဲနှင့် စက်ပံ့ပိုးမှု ဖြန့်ချိရေးမှတ်စုများ
Intel® Quartus® Prime Design Suite- 22.1std.1 အတွက် အပ်ဒိတ်လုပ်ထားသည်။
အသုံးပြုသူလမ်းညွှန်
Intel® Quartus® Prime Standard Edition ဗားရှင်း 22.1std ဆော့ဖ်ဝဲနှင့် စက်ပံ့ပိုးမှု ဖြန့်ချိရေးမှတ်စုများ
ဤစာရွက်စာတမ်းသည် Intel® Quartus® Prime Standard Edition ဗားရှင်း 22.1std နှင့် 22.1std.1 အကြောင်း နောက်ကျသော အချက်အလက်များကို ပေးပါသည်။
ဤဆော့ဖ်ဝဲထုတ်ဝေမှုနှင့်ပတ်သက်သော နောက်ထပ်အချက်အလက်များအတွက်၊ Intel Quartus Prime Standard Edition README ကို ကိုးကားပါ။ file အောက်ပါတည်နေရာတွင် /quartus/readme.txt
လည်ပတ်မှုစနစ် ပံ့ပိုးမှုဆိုင်ရာ အချက်အလက်အတွက်၊ အောက်ပါတို့ကို ကိုးကားပါ။ web စာမျက်နှာ- Intel FPGA လည်ပတ်မှုစနစ် ပံ့ပိုးမှု။
ဆက်စပ်အချက်အလက်
- Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲနှင့် စက်ပံ့ပိုးမှု ဖြန့်ချိရေးမှတ်စုများ
- Linux အတွက် Intel Quartus Prime Standard Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Windows အတွက် Intel Quartus Prime Standard Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Linux အတွက် Intel Quartus Prime Lite Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Windows အတွက် Intel Quartus Prime Lite Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Intel FPGA ဆော့ဖ်ဝဲ တပ်ဆင်ခြင်းနှင့် လိုင်စင်ပေးခြင်း
၁.၁။ အင်္ဂါရပ်များနှင့် မြှင့်တင်မှုများ
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်ဗားရှင်း 22.1std နှင့် ဗားရှင်း 22.1std.1 တွင် လုပ်ဆောင်နိုင်စွမ်းနှင့် လုံခြုံရေး အပ်ဒိတ်များ ပါဝင်သည်။ သင့်ဆော့ဖ်ဝဲကို နောက်ဆုံးပေါ် ထားရှိကာ ၎င်းကို လိုက်နာပါ။ နည်းပညာဆိုင်ရာအကြံပြုချက်များ သင်၏ Intel Quartus Prime တပ်ဆင်မှု၏ လုံခြုံရေးကို ပိုမိုကောင်းမွန်အောင် ကူညီပေးသည်။
Intel Quartus Prime Standard Edition Software Version 22.1std တွင် အောက်ပါ အင်္ဂါရပ်များနှင့် မြှင့်တင်မှုများ ပါဝင်သည်-
- Nios® V/m ပရိုဆက်ဆာအတွက် ထပ်လောင်းပံ့ပိုးမှု။
- Intel MAX® 10 စက်ပစ္စည်းများအတွက် 1.8V LVDS ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။
ချွတ်ယွင်းချက်ပြင်ဆင်မှုများ
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်ဗားရှင်း 22.1std နှင့် ဗားရှင်း 22.1std.1 တွင်လည်း ချွတ်ယွင်းချက်ပြင်ဆင်မှုများ ပါဝင်သည်။ ပြန်လည်view စာမျက်နှာ 13 တွင် ဖြေရှင်းထားသော ဆော့ဖ်ဝဲလ်ပြဿနာများနှင့် ဤဖြန့်ချိမှုတွင် စာမျက်နှာ 13 တွင်ပါရှိသော ဆော့ဖ်ဝဲလ်ပြင်ဆင်ချက်များသည် ဤဗားရှင်းတွင် သင့်ဖောက်သည်ဝန်ဆောင်မှု (Intel Premier Support) တောင်းဆိုချက်များအတွက် ပြုပြင်မှုများပါ၀င်သည် သို့မဟုတ် အခြားနည်းဖြင့် ဖြေရှင်းခြင်းရှိ၊
၁.၃။ Software Behavior သို့ ပြောင်းလဲမှုများ
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်၏ အပြုအမူနှင့် ပုံသေဆက်တင်များကို Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်၏ အစောပိုင်းထွက်ရှိမှုများမှ ပြောင်းလဲထားသည့် သာဓကများကို ဤကဏ္ဍတွင် မှတ်တမ်းတင်ထားပါသည်။
Intel Quartus Prime Default ဆက်တင်များကို ကိုးကားပါ။ File (.qdf)၊ Intel Quartus Prime ဆော့ဖ်ဝဲလ်၏ နောက်ဆုံးဗားရှင်းအတွက် မူလသတ်မှတ်ပေးထားသည့် ဆက်တင်များစာရင်းအတွက် /quartus/bin/assignment_defaults.qdf။
၁.၂.၁။ ကန့်ကွက်ထားသော အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များ
ဤကဏ္ဍတွင်ဖော်ပြထားသော လုပ်ဆောင်ချက်များနှင့် အင်္ဂါရပ်များကို ရပ်ဆိုင်းထားသော်လည်း Intel Quartus Prime Standard Edition Version 22.1std.1 သို့မဟုတ် ထိုထက်စောသော ဗားရှင်းမှ မဖယ်ရှားပါ။
ကန့်ကွက်ထားသော အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို မဖယ်ရှားမီ အစားထိုး သို့မဟုတ် အလှည့်ကျ အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို အသုံးပြုရန် သင့်ကိရိယာများနှင့် လုပ်ငန်းစဉ်များကို ရွှေ့ပြောင်းပါ။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard အရ ငြင်းပယ်ထားသည်။ ထုတ်ဝေမှုဗားရှင်း 22.1std.1
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1.1 တွင် ရပ်တန့်ထားသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard အရ ငြင်းပယ်ထားသည်။ ထုတ်ဝေမှုဗားရှင်း 22.1std
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1 တွင် ရပ်တန့်ထားသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 21.1.1 တွင် ကန့်ကွက်ထားသည်။
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 21.1.1 တွင် ရပ်တန့်ထားသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard အရ ငြင်းပယ်ထားသည်။ ထုတ်ဝေမှုဗားရှင်း 21.1
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 21.1 တွင် ရပ်တန့်ထားသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard အရ ငြင်းပယ်ထားသည်။ ထုတ်ဝေမှုဗားရှင်း 20.1
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 20.1 တွင် ရပ်တန့်ထားသည်။
၁.၂.၂။ အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို ဖယ်ရှားထားသည်။
ဤကဏ္ဍတွင်ဖော်ပြထားသောလုပ်ဆောင်ချက်များနှင့်အင်္ဂါရပ်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std.1 သို့မဟုတ် ထိုထက်စောသောနေရာမှ ဖယ်ရှားလိုက်ပါသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition မှ ဖယ်ရှားထားသည်။ ဗားရှင်း 22.1std.1
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1.1 မှ ဖယ်ရှားထားခြင်းမရှိပါ။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition မှ ဖယ်ရှားထားသည်။ ဗားရှင်း 22.1std
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1 မှ ဖယ်ရှားထားခြင်းမရှိပါ။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition မှ ဖယ်ရှားထားသည်။ ဗားရှင်း 21.1.1
Intel Quartus Prime အင်္ဂါရပ်များ သို့မဟုတ် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition ဗားရှင်း 21.1.1 မှ ဖယ်ရှားထားခြင်းမရှိပါ။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition မှ ဖယ်ရှားထားသည်။ ဗားရှင်း 21.1
- ModelSim*-Intel FPGA Edition နှင့် ModelSim-Intel FPGA Starter Edition ကို ဖယ်ရှားထားသည်။
ဤ simulation software ကို Questa*-Intel FPGA Edition နှင့် Questa-Intel FPGA Starter Edition အသီးသီးဖြင့် အစားထိုးထားပါသည်။ - 32-bit simulation software အတွက် ပံ့ပိုးမှုကို ဖယ်ရှားထားသည်။
ဤပြောင်းလဲမှုသည် အောက်ပါ simulation ကိရိယာများအတွက် ပံ့ပိုးမှုကို ဖယ်ရှားသည်-
- Aldec* Active-HDL* (32-bit)
Aldec Active-HDL ၏ 64-bit ဗားရှင်းကို အသုံးပြုပါ သို့မဟုတ် ၎င်းအစား Aldec Riviera-PRO* ကို အသုံးပြုပါ။
— Mentor ဂရပ်ဖစ်* ModelSim PE
Siemens* EDA ModelSim SE သို့မဟုတ် Siemens EDA Questa Advanced Simulator ကိုသုံးပါ။ - NicheStack TCP/IP Stack ပံ့ပိုးမှုကို ဖယ်ရှားခဲ့သည်။
- Cadence* Incisive* Enterprise Simulator (IES) အတွက် ပံ့ပိုးမှုကို ဖယ်ရှားထားသည်။
အင်္ဂါရပ်များနှင့် လုပ်ဆောင်ချက်များကို Intel Quartus Prime Standard Edition မှ ဖယ်ရှားထားသည်။ ဗားရှင်း 20.1
အောက်ပါဆော့ဖ်ဝဲအတွက် ပံ့ပိုးမှုကို Intel Quartus Prime Standard Edition Version 20.1 နှင့်အထက်မှ ဖယ်ရှားလိုက်သည်-
- Intel FPGAs အတွက် DSP Builder
- OpenCL™ (*) အတွက် Intel FPGA SDK
- OpenCL အတွက် Intel FPGA RTE
- Intel High-Level Synthesis (HLS) Compiler
(*) OpenCL နှင့် OpenCL လိုဂိုများသည် Khronos Group™ ၏ ခွင့်ပြုချက်ဖြင့် အသုံးပြုသည့် Apple Inc. ၏ ကုန်အမှတ်တံဆိပ်များဖြစ်သည်
၁.၃။ Operating System ပံ့ပိုးမှု
Intel Quartus Prime Design Suite အတွက် လည်ပတ်မှုစနစ် ပံ့ပိုးမှုဆိုင်ရာ အချက်အလက်ကို Intel FPGA ၏ Operating System Support စာမျက်နှာတွင် ရနိုင်ပါသည်။ website.
Intel Quartus Prime Standard Edition တွင် Operating System ပံ့ပိုးမှု အပြောင်းအလဲများ ဗားရှင်း 22.1std.1
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std.1 တွင် လည်ပတ်မှုစနစ် ပံ့ပိုးမှု ပြောင်းလဲမှု မရှိပါ။
Intel Quartus Prime Standard Edition တွင် Operating System ပံ့ပိုးမှု အပြောင်းအလဲများ ဗားရှင်း 22.1std
အောက်ဖော်ပြပါ လည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုကို Intel Quartus Prime Standard Edition Version 22.1 တွင် ရပ်တန့်ထားသည်။
- CentOS* Linux 8.2
- Windows Server* 2012
- Windows Server 2016
- Windows* 10 ဗားရှင်း 1607
သင်၏ Windows 10 တပ်ဆင်မှုကို Windows 10 ဗားရှင်း 1809 သို့မဟုတ် နောက်ပိုင်းတွင် ပြောင်းရွှေ့ပါ။
ဤလည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုအား နောင်ထွက်ရှိမှုတွင် ဖယ်ရှားနိုင်ပါသည်။
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1 သည် အောက်ပါလည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုကို ဖယ်ရှားလိုက်သည်-
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat* Enterprise Linux* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
Intel Quartus Prime Standard Edition တွင် Operating System ပံ့ပိုးမှု အပြောင်းအလဲများ ဗားရှင်း 21.1.1
Intel Quartus Prime Standard Edition ဗားရှင်း 21.1.1 တွင် လည်ပတ်မှုစနစ် ပံ့ပိုးမှု ပြောင်းလဲမှု မရှိပါ။
Intel Quartus Prime Standard Edition တွင် Operating System ပံ့ပိုးမှု အပြောင်းအလဲများ ဗားရှင်း 21.1
Intel Quartus Prime Standard Edition ဗားရှင်း 21.1 သည် အောက်ပါ လည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှု ထပ်လောင်းထည့်သွင်းထားသည်-
- CentOS Linux 8.2 ကို Intel Quartus Prime Standard Edition Version 22.1 မှ ပံ့ပိုးပေးထားပါသည်။
- Red Hat* Enterprise Linux 8.2 ကို Intel Quartus Prime Standard Edition ဗားရှင်း 22.1 မှ ပံ့ပိုးပေးထားပါသည်။
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise ဆာဗာ 15
- Ubuntu* Linux 20 LTS
- Windows Server 2019
အောက်ဖော်ပြပါ လည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုကို Intel Quartus Prime Standard Edition Version 21.1 တွင် ရပ်တန့်ထားသည်။ ဤလည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုအား နောင်ထွက်ရှိမှုတွင် ဖယ်ရှားနိုင်သည်-
- CentOS 7.5
- Red Hat Enterprise Linux 7
Intel Quartus Prime Standard Edition ဗားရှင်း 21.1 သည် အောက်ပါလည်ပတ်မှုစနစ်များအတွက် ပံ့ပိုးမှုကို ဖယ်ရှားလိုက်သည်-
- Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
ဆက်စပ်အချက်အလက်
Operating System ပံ့ပိုးမှု
၁.၄။ Disk Space နှင့် Memory အကြံပြုချက်များ
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်ကို အပြည့်အ၀တပ်ဆင်ခြင်းသည် ရရှိနိုင်သောဒစ်နေရာကို 40 GB အထိ လိုအပ်သည်။
သင်၏ ဒီဇိုင်းကို လုပ်ဆောင်ရန် လိုအပ်သော အကြံပြုထားသော ရုပ်ပိုင်းဆိုင်ရာ RAM နှင့် တူညီသော နောက်ထပ် virtual memory ကို ပေးဆောင်ရန် သင့်စနစ်အား ပြင်ဆင်သတ်မှတ်ပါ။ ဤအပိုဆောင်း virtual memory သည် သင့်ဒီဇိုင်းကို လုပ်ဆောင်ရန်အတွက် ရရှိနိုင်သော စုစုပေါင်းထိရောက်သော မှတ်ဉာဏ်ကို နှစ်ဆထိရောက်စွာ ထိရောက်စွာ တိုးစေသည်။
မှတ်ချက် -
အမြင့်ဆုံး virtual memory သည် ဤအကြံပြုချက်များကို ကျော်လွန်နိုင်သည်။ ဤအကြံပြုချက်များသည် အကန့်အသတ်မဲ့ RAM ပမာဏရှိသော ဟာ့ဒ်ဝဲတွင် ရရှိသည့် 10% အတွင်း runtime ရရှိရန် လိုအပ်သော ရုပ်ပိုင်းဆိုင်ရာမှတ်ဉာဏ်ပမာဏအပေါ် အခြေခံထားသည်။
ဇယား ၁။
Arria® ဒီဇိုင်းများကို လုပ်ဆောင်ခြင်းအတွက် မမ်မိုရီလိုအပ်ချက်များ
ဤလိုအပ်ချက်များသည် Windows နှင့် Linux ထည့်သွင်းမှုနှစ်ခုလုံးအတွက် တူညီပါသည်။
| မိသားစု | ကိရိယာ | Physical RAM ကို အကြံပြုထားသည်။ |
| Intel Arria® 10 | 10AT115၊ 10AX115 | 48 GB |
| 10AT090၊ 10AX090 | 44 GB | |
| 10AS066၊ 10AX066 | 32 GB | |
| 10AS057၊ 10AX057 | 30 GB | |
| 10ASO48၊ 10AX048 | 28 GB | |
| 10AX032၊ 10AS032 | 24 GB | |
| 10AX027၊ 10AS027 | 22 GB | |
| 10AX022၊ 10AS022 | 20 GB | |
| 10AX016၊ 10AS016 | 18 GB | |
| Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
| 5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
| 5AGXA7၊ 5AGTC7 | 10 GB | |
| 5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
| 5AGXA1 | 6 GB | |
| Arria V GZ | 5AGZE7 | 16 GB |
| 5AGZE3၊ 5AGZE5 | 12 GB | |
| 5AGZE1 | 8 GB | |
| Arria II GX | EP2AGX260 | 6 GB |
| EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
| EP2AGX65 | 2 GB | |
| EP2AGX45 | 1.5 GB | |
| Arria II GZ | EP2AGZ350 | 8 GB |
| EP2AGZ300 | 6 GB | |
| EP2AGZ225 | 4 GB |
ဇယား ၁။
Cyclone® ဒီဇိုင်းများကို လုပ်ဆောင်ခြင်းအတွက် မမ်မိုရီလိုအပ်ချက်များ
ဤလိုအပ်ချက်များသည် Windows နှင့် Linux ထည့်သွင်းမှုနှစ်ခုလုံးအတွက် တူညီပါသည်။
| မိသားစု | ကိရိယာ | Physical RAM ကို အကြံပြုထားသည်။ |
| Intel Cyclone® 10 LP | ၀၃၅၉CL၁၁၁၆ | 1.5 GB |
| 10CL080၊ 10CL055 | 1 GB | |
| 10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
| ဆိုင်ကလုန်း V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
| 5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
| ဆိုင်ကလုန်း IV GX | EP4CGX110၊ EP4CGX150 | 2 GB |
| EP4CGX50၊ EP4CGX75 | 1.5 GB | |
| EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
| ဆိုင်ကလုန်း IV အီး | EP4CE115 | 1.5 GB |
| EP4CE55၊ EP4CE75 | 1 GB | |
| EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
ဇယား ၁။
MAX ဒီဇိုင်းများကို လုပ်ဆောင်ခြင်းအတွက် Memory လိုအပ်ချက်များ
ဤလိုအပ်ချက်များသည် Windows နှင့် Linux ထည့်သွင်းမှုနှစ်ခုလုံးအတွက် တူညီပါသည်။
| မိသားစု | ကိရိယာ | Physical RAM ကို အကြံပြုထားသည်။ |
| Intel MAX 10 | 10M50 | 2 GB |
| 10M16 | 2 GB | |
| 10M25 | 2 GB | |
| 10M40 | 2 GB | |
| 10M04၊ 10M08 | 1 GB | |
| 10M02 | 512 MB | |
| MAX V | အားလုံး | 512 MB |
| MAX II | အားလုံး | 512 MB |
ဇယား ၁။
Stratix® ဒီဇိုင်းများကို လုပ်ဆောင်ခြင်းအတွက် မမ်မိုရီလိုအပ်ချက်များ
ဤလိုအပ်ချက်များသည် Windows နှင့် Linux ထည့်သွင်းမှုနှစ်ခုလုံးအတွက် တူညီပါသည်။
| မိသားစု | ကိရိယာ | Physical RAM ကို အကြံပြုထားသည်။ |
| Stratix® V | 5SEEB၊ 5SGXAB၊ 5SGXB9၊ 5SGXBB | 28 GB |
| 5SGXA9၊ 5SEE9 | 24 GB | |
| 5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
| 5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
| 5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
| 5SGSD3 | 8 GB | |
| Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
| EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
| EP4SGX290 | 6 GB | |
| EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
| EP4SGX70 | 2 GB |
၁.၅။ စက်ပံ့ပိုးမှုနှင့် ပင်ထွက်အခြေအနေ
လက်ရှိ ထုတ်လုပ်ရေး စက်ပစ္စည်းများအားလုံးတွင် စုစည်းမှု၊ သရုပ်ဖော်မှု၊ အချိန်ပိုင်းခွဲခြမ်းစိတ်ဖြာမှုနှင့် ပရိုဂရမ်ရေးဆွဲခြင်း ပံ့ပိုးမှု အပြည့်အစုံရှိသည်။
၁.၅.၁။ စက်ပစ္စည်းပံ့ပိုးမှုတွင် အပြောင်းအလဲများ
၁.၆။ Timing Model၊ Power Model နှင့် Device Status
ဇယား ၁။
Intel Arria 10 စက်များအတွက် Timing Model၊ Power Model နှင့် Device Status
| စက်မိသားစု | ကိရိယာ | Timing Model အဆင့်အတန်း | ပါဝါမော်ဒယ်အခြေအနေ | စက်အခြေအနေ |
| Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | နောက်ဆုံး – 16.1 (3)(4) | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ |
| 10AX048၊ 10AS048 | နောက်ဆုံး – 16.0.2 (4) | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ | |
| 10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | နောက်ဆုံး – 16.0.1 (4) | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ | |
| 10AX115၊ 10AT115 | နောက်ဆုံး – 16.0 (4) | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ |
(၄) -1 မြန်နှုန်းအဆင့်ရှိသော စက်ပစ္စည်းများကို Intel Quartus Prime ဆော့ဖ်ဝဲဗားရှင်း 17.0 တွင် အပြီးသတ်ခဲ့သည်။
(၄) စစ်ဘက်အဆင့် စက်ပစ္စည်းအားလုံးကို Intel Quartus Prime ဆော့ဖ်ဝဲဗားရှင်း 18.0.1 တွင် အပြီးသတ်ခဲ့သည်။
ဇယား ၁။
Intel Cyclone 10 စက်များအတွက် Timing Model၊ Power Model နှင့် Device Status
| စက်မိသားစု | ကိရိယာ | Timing Model အဆင့်အတန်း | ပါဝါမော်ဒယ်အခြေအနေ | စက်အခြေအနေ |
| Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ |
ဇယား ၁။
Intel MAX 10 စက်များအတွက် Timing Model၊ Power Model နှင့် Device Status
| စက်မိသားစု | ကိရိယာ | Timing Model အဆင့်အတန်း | ပါဝါမော်ဒယ်အခြေအနေ | စက်အခြေအနေ |
| Intel MAX 10 | 10M02, 10M04, 10M08 | နောက်ဆုံး – 15.1 (5) | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ |
| 10M16, 10M25, 10M40, 10M50 | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ | နောက်ဆုံး – ၂၂.၃ |
Intel Quartus Prime ဆော့ဖ်ဝဲလ်၏ လက်ရှိဗားရှင်းတွင် Arria II GX၊ Arria II GZ၊ Arria V၊ Arria V GZ၊ Arria V SoC၊ Cyclone IV E၊ Cyclone IV GX၊ Cyclone V၊ Cyclone V အတွက် နောက်ဆုံးအချိန်နှင့် ပါဝါမော်ဒယ်များလည်း ပါဝင်ပါသည်။ SoC၊ MAX II၊ MAX II Z၊ MAX V၊ Stratix IV နှင့် Stratix V စက်ပစ္စည်း မိသားစုများ။ ဤစက်ပစ္စည်းမိသားစုများအတွက် အချိန်ကိုက်မော်ဒယ်များသည် Intel Quartus Prime ဆော့ဖ်ဝဲဗားရှင်း 11.1 သို့မဟုတ် ထိုထက်စောသောဗားရှင်းများတွင် နောက်ဆုံးဖြစ်လာသည်။
၁.၇။ IBIS မော်ဒယ်များ
ဇယား ၁။ Intel Quartus Prime Standard Edition Software အတွက် IBIS မော်ဒယ် အခြေအနေ ဗားရှင်း 22.1std ကိုဖြန့်ချိသည်။
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်ဗားရှင်း 16.0 မှအစပြု၍ စက်ပစ္စည်းမိသားစုများတွင် IBIS မော်ဒယ်အခြေအနေများ သည် Advance၊ Preliminary သို့မဟုတ် Final ဖြစ်နိုင်ပါသည်။
| စက်မိသားစု | IBIS မော်ဒယ်အခြေအနေ |
| Intel Arria 10 | နောက်ဆုံး – ၂၂.၃ |
| Arria V | PHY စက်လည်ပတ်မှု – 14.0 နှင့်ဆက်စပ်နေသည်။ |
| Arria II GX | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
| Arria II GZ | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
| Intel Cyclone 10 LP | နောက်ဆုံး – ၂၂.၃ |
| ဆိုင်ကလုန်း V | PHY စက်လည်ပတ်မှု – 14.0 နှင့်ဆက်စပ်နေသည်။ |
| ဆိုင်ကလုန်း IV အီး | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
| ဆိုင်ကလုန်း IV GX | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
| Intel MAX 10 | နောက်ဆုံး – ၂၂.၃ |
| MAX V | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
| Stratix V | PHY စက်လည်ပတ်မှု – 13.0 SP1 နှင့် ဆက်စပ်နေသည်။ |
| Stratix IV | PHY စက်လည်ပတ်မှု – 11.1 နှင့်ဆက်စပ်နေသည်။ |
အပ်ဒိတ်လုပ်ထားသော IBIS မော်ဒယ်များကို Intel FPGA စက်များအတွက် IBIS Models များတွင် အွန်လိုင်းတွင် ရနိုင်ပါသည်။ web စာမျက်နှာ။ စက်များအတွက် IBIS မော်ဒယ်များကို ရနိုင်သည် သို့မဟုတ် အပ်ဒိတ်လုပ်ထားသောကြောင့် ဤစာမျက်နှာကို အပ်ဒိတ်လုပ်ပါသည်။
(5) MAX 10 A6 အမြန်နှုန်းအဆင့် အစိတ်အပိုင်းများအတွက် အချိန်ကိုက်မော်ဒယ် အခြေအနေများသည် ပဏာမအဖြစ် ကျန်ရှိနေပါသည်။
၁.၈။ EDA Interface အချက်အလက်
ဇယား ၁။
Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲဖြန့်ချိသည့်ဗားရှင်း 22.1std ကို ပံ့ပိုးပေးသည့် Synthesis Tools
| Synthesis Tools များ | ဗားရှင်း |
| Siemens EDA တိကျမှု* | Intel Quartus Prime ဆော့ဖ်ဝဲလ်ကို ပံ့ပိုးပေးသည့် Siemens EDA Precision ဗားရှင်းများသည် Intel Quartus Prime ဆော့ဖ်ဝဲလ်ကို ထုတ်ဝေပြီးနောက် ပုံမှန်အားဖြင့် ထုတ်ဝေသည်။ Intel Quartus Prime Standard Edition Software Release Version 22.1std ကို ပံ့ပိုးပေးသည့် Siemens EDA Precision ဗားရှင်းများအတွက် Siemens EDA သို့ ဆက်သွယ်ပါ။ |
| Synopsys* Synplify*၊ Synplify Pro* နှင့် Synplify Premier | Intel Quartus Prime ဆော့ဖ်ဝဲလ်ကို ပံ့ပိုးပေးသည့် Synopsys Synplify၊ Synplify Pro နှင့် Synplify Premier ဗားရှင်းများကို Intel Quartus Prime ဆော့ဖ်ဝဲလ်မှ ထွက်ရှိပြီးနောက် ပုံမှန်အားဖြင့် ထုတ်ဝေသည်။ Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ် ဖြန့်ချိသည့်ဗားရှင်း 22.1std ကို ပံ့ပိုးပေးသည့် Synopsys Synplify၊ Synplify Pro နှင့် Synplify Premier ဗားရှင်းများအတွက် Synopsys ဆက်သွယ်ပါ။ |
ဇယား ၁။
Intel Quartus Prime Standard Edition ကို ပံ့ပိုးပေးသည့် သရုပ်ပြကိရိယာများ ဆော့ဖ်ဝဲဖြန့်ချိသည့်ဗားရှင်း 22.1std
အောက်ဖော်ပြပါ ပုံသဏ္ဍာန်တူရိယာများသည် RTL နှင့် လုပ်ဆောင်နိုင်သော ဂိတ်အဆင့် အသွင်တူမှုကို ပေးဆောင်သည်။ 64-bit simulation tools များကိုသာ ပံ့ပိုးထားပါသည်။
| သရုပ်ပြကိရိယာများ | ဗားရှင်း |
| Aldec Active-HDL | 13.0 (Windows သီးသန့်) |
| Aldec Riviera-PRO | 2019.1 |
| Cadence Xcelium* Parallel Logic Simulation | 21.09.003 (Linux* သီးသန့်) |
| Questa-Intel FPGA ထုတ်ဝေမှု | 2021.2 |
| Siemens EDA မော်ဒယ်Sim SE | 2020.4 |
| Siemens EDA Questa အဆင့်မြင့် Simulator | 2020.4 |
| Synopsys VCS* နှင့် VCS MX | P-2019.06-SP2-5 (Linux သီးသန့်) |
Questa-Intel FPGA Edition သည် FlexLM လိုင်စင် daemon ဗားရှင်း 11.16.4.0 (သို့မဟုတ် နောက်ပိုင်း) လိုအပ်သည်။ Intel FPGA ဆော့ဖ်ဝဲလ်အတွက် FlexLM License Daemons မှ လိုင်စင်ထုတ်ပေးသော daemon ကို သင်ရယူနိုင်သည် web စာမျက်နှာ။
FPGAs အတွက် ဒေါင်းလုဒ်စင်တာမှ Intel FPGA Edition တူသော တူးလ်များကို သင် ရယူနိုင်ပါသည်။
Questa-Intel FPGA Edition ဗားရှင်း 2021.2 အတွက် လည်ပတ်မှုစနစ် ပံ့ပိုးမှု
- Red Hat Enterprise Linux 7 (64-bit)
- Red Hat Enterprise Linux 8 (64-bit)
- SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
ဆက်စပ်အချက်အလက်
- Linux အတွက် Intel Quartus Prime Standard Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Windows အတွက် Intel Quartus Prime Standard Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Linux အတွက် Intel Quartus Prime Lite Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
- Windows အတွက် Intel Quartus Prime Lite Edition ဒီဇိုင်းဆော့ဖ်ဝဲ
၁.၉။ Antivirus အတည်ပြုခြင်း။
Intel Quartus Prime ဆော့ဖ်ဝဲလ်ကို အောက်ပါဆော့ဖ်ဝဲဖြင့် ဗိုင်းရပ်စ်ကင်းစင်ကြောင်း အတည်ပြုထားသည်-
Intel Quartus Prime Standard Edition အတွက် Antivirus Verification Software ဗားရှင်း 22.1std.1
Linux64 ဗားရှင်း- 7.0.0.477 အတွက် McAfee VirusScan Command Line
AV Engine ဗားရှင်း- Linux6300.9389 အတွက် 64။
Dat set ဗားရှင်း- 10629 ကို Feb 22 2023 တွင် ဖန်တီးခဲ့သည်။
Intel Quartus Prime Standard Edition အတွက် Antivirus Verification Software ဗားရှင်း 22.1std
Linux64 ဗားရှင်း- 7.0.0.477 အတွက် McAfee VirusScan Command Line
AV Engine ဗားရှင်း- Linux6300.9389 အတွက် 64။
Dat set ဗားရှင်း- 10505 ကို အောက်တိုဘာ 19 2022 တွင် ဖန်တီးခဲ့သည်။
၁.၁၀။ ဆော့ဖ်ဝဲလ်ပြဿနာများကို ဖြေရှင်းပြီးဖြစ်သည်။
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std.1 တွင် ဖောက်သည်ဝန်ဆောင်မှုတောင်းဆိုချက်များကို အခြားနည်းဖြင့် ဖြေရှင်း၍မရပါ။
အောက်ဖော်ပြပါ ဖောက်သည်ဝန်ဆောင်မှုတောင်းဆိုချက်များကို Intel Quartus Prime Standard Edition Version 22.1std တွင် ပြင်ဆင်ပြီး သို့မဟုတ် အခြားနည်းဖြင့် ဖြေရှင်းထားသည်-
ဇယား ၁။
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std တွင် ဖြေရှင်းထားသော ပြဿနာများ
| Intel Premier Support Case နံပါတ်များ | |||||||
| 00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
| 00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
| 00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
| 00698210 | 00698732 | 05129080 | 05465225 | 11396299 | |||
၁.၁၁။ ဤဖြန့်ချိမှုတွင် ပါဝင်သော Software Patches
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std.1 တွင် Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ်၏ ယခင်ဗားရှင်းများအတွက် အောက်ပါ ဖာထေးမှုများ ပါရှိသည်။
ဇယား ၁။
Intel Quartus Prime Standard Edition Version တွင်ပါဝင်သော Software Patches 22.1std.1
| software ဗားရှင်း | ဖာသည် | ဖောက်သည်ဝန်ဆောင်မှုတောင်းဆိုမှုနံပါတ် |
| Intel Quartus Prime ဗားရှင်း 22.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | 00741067 |
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std တွင် Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲ၏ ယခင်ဗားရှင်းများအတွက် အောက်ပါ ဖာထေးမှုများ ပါရှိသည်။
ဇယား ၁။ Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std တွင် ပါဝင်သော Software Patches
| software ဗားရှင်း | ဖာသည် | ဖောက်သည်ဝန်ဆောင်မှုတောင်းဆိုမှုနံပါတ် |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | 00693884 |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | 00501636 |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | 00689611 |
| Intel Quartus Prime ဗားရှင်း 21.1 | 0.04stdp | – |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 21.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 20.1.1 | ၀.၀၃ ရက် | 00702107 |
| Intel Quartus Prime ဗားရှင်း 20.1 | ၀.၀၃ ရက် | 00702107 |
| Intel Quartus Prime ဗားရှင်း 18.1.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 18.1.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 18.1.1 | ၀.၀၃ ရက် | – |
| Intel Quartus Prime ဗားရှင်း 18.1 | ၀.၀၃ ရက် | 00698210 |
| Intel Quartus Prime ဗားရှင်း 18.1 | ၀.၀၃ ရက် | 00669646 |
| Intel Quartus Prime ဗားရှင်း 18.1 | ၀.၀၃ ရက် | 00689611 |
၁.၁၂။ နောက်ဆုံးသိထားသော Intel Quartus Prime Software ပြဿနာများ
Intel Quartus Prime Standard Edition Version 22.1std ကို ထိခိုက်စေသည့် လူသိများသော ပြဿနာများအကြောင်း အချက်အလက်ကို Intel FPGA Knowledge Base တွင် ရရှိနိုင်ပါသည်။
Intel Quartus Prime Standard Edition Version 22.1std ကို သက်ရောက်မှုရှိသော ပြဿနာများအကြောင်း နောက်ဆုံးအချက်အလက်များအတွက်၊view Intel Quartus Prime Standard Edition Version 22.1std နှင့်သက်ဆိုင်သော Intel FPGA Knowledge Base ဆောင်းပါးများ။
ဇယား ၁။
Intel Quartus Prime Standard Edition ဗားရှင်း 22.1std ကို ထိခိုက်စေသည့် အရေးကြီးသော သိထားသော ပြဿနာများ
| ဖော်ပြချက် | ဖြေရှင်းချက် |
| Microsoft* Windows စနစ်များတွင် SDI II Intel FPGA IP ဒီဇိုင်း example generation သည် အောက်ပါ error message ဖြင့် ကျရှုံးသည် ။ အမှား- ex ကို ထုတ်လုပ်ရန် မအောင်မြင်ပါ။ample ဒီဇိုင်း example_design:: \sdi_ii_0_example_design |
အသေးစိတ်အချက်အလက်များနှင့် ပြင်ဆင်မှုရရှိနိုင်မှုများအတွက်၊ ကိုးကားပါ။ SDI II Intel FPGA IP ကို ဘာကြောင့် ဒီဇိုင်းထုတ်တာလဲ။ampWindows အတွက် Intel Quartus Prime ဆော့ဖ်ဝဲလ်ကို အသုံးပြုသည့်အခါ မျိုးဆက်ပျက်သွားပါသလား။ Intel FPGA Knowledge Base တွင်။ |
| Microsoft Windows စနစ်များတွင် Intel Arria 10 EMIF Ex ကို ထုတ်လုပ်သောအခါတွင် အောက်ပါ အမှားအယွင်းများ ဖြစ်ပေါ်ပါသည်။ample simulation အတွက် ဒီဇိုင်း အမှား- emif_0- အတုအယောင် ex ကို ဖန်တီးသည့်အခါ အမှားဖြစ်သွားသည်။ample ဒီဇိုင်း။ အသေးစိတ်အတွက် make_sim_design_errors.log ကို ကြည့်ပါ။ အမှား- ex ကို ထုတ်လုပ်ရန် မအောင်မြင်ပါ။ample ဒီဇိုင်း သို့-ample design directory > Generate Example ဒီဇိုင်း- အမှားများဖြင့် ပြီးသွားသည်။ |
ဤသတိပေးစာများကို လုံခြုံစွာလျစ်လျူရှုနိုင်သည်။ သရုပ်သကန် file Siemens EDA Questa နှင့် Aldec Riviera-PRO သရုပ်ဖော်ဆော့ဖ်ဝဲအတွက် အစုံများကို ထုတ်လုပ်ပြီး သက်ဆိုင်ရာ ဒီဇိုင်းပါ၀င်သည် files simulation ကိုအောင်မြင်စွာ run ။ နောက်ထပ်အသေးစိတ်အချက်အလက်များနှင့် ပြင်ဆင်မှုရရှိနိုင်မှုများအတွက်၊ ကိုးကားပါ။ Intel Arria 10 EMIF Ex သည် အဘယ်ကြောင့်နည်းample Windows အတွက် Intel Quartus Prime Standard Edition Software Version 22.1 ကို အသုံးပြုသောအခါ ဒီဇိုင်းမျိုးဆက် ပျက်ကွက်ပါသလား။ Intel FPGA Knowledge Base တွင်။ |
| Intel Arria 10 EMIF IP ကို Skip Calibration မုဒ်ကို အသုံးပြုသောအခါ၊ Siemens EDA Questa သရုပ်ဖော်ဆော့ဖ်ဝဲဖြင့် Intel Arria 10 EMIF IP ကို သရုပ်ဖော်ခြင်း (Siemens EDA Questa Advanced Simulator သို့မဟုတ် Questa-Intel FPGA Edition) ကို ဆွဲထားနိုင်သည်။ |
ချိတ်ဆွဲခြင်းကို တားဆီးရန် လျင်မြန်သော အသွင်တူခြင်း သရုပ်ဖော်ခြင်း ရွေးချယ်မှုအတွက် Abstract PHY ကို အသုံးပြုပါ။ နောက်ထပ်အသေးစိတ်အချက်အလက်များနှင့် ပြင်ဆင်မှုရရှိနိုင်မှုများအတွက်၊ ကိုးကားပါ။ Intel Quartus Prime Standard Edition Software ဗားရှင်း 10 ကိုအသုံးပြုသောအခါ Mentor Simulators တွင် Intel Arria 22.1 EMIF IP ကို အဘယ်ကြောင့် ဆိုင်းငံ့ထားသနည်း။ Intel FPGA Knowledge Base တွင်။ |
Intel FPGA Knowledge Base တွင် Quartus Prime ဆော့ဖ်ဝဲ၏ ယခင်ဗားရှင်းများအတွက် သိထားသော ပြဿနာအချက်အလက်ကို သင်ရှာဖွေနိုင်ပါသည်။ web စာမျက်နှာ။
Quartus II ဆော့ဖ်ဝဲလ်၏ ယခင်ဗားရှင်းများကို ထိခိုက်စေသည့် လူသိများသော ဆော့ဖ်ဝဲပြဿနာများအကြောင်း အချက်အလက်ကို Intel Quartus Prime နှင့် Quartus II ဆော့ဖ်ဝဲလ်ပံ့ပိုးမှုတွင် ရရှိနိုင်ပါသည်။ web စာမျက်နှာ။
Intel FPGA IP Library ကို ထိခိုက်စေသည့် ပြဿနာများအကြောင်း အချက်အလက်ကို IP တစ်ခုစီအတွက် ထုတ်ပြန်ချက် မှတ်စုများတွင် ရနိုင်ပါသည်။ Intel FPGA Documentation Index တွင် IP ထုတ်လွှတ်မှုမှတ်စုများကို သင်တွေ့နိုင်သည်။ web စာမျက်နှာ။
ဆက်စပ်အချက်အလက်
- Intel FPGA အသိပညာအခြေခံ
- Intel Quartus Prime နှင့် Quartus II ဆော့ဖ်ဝဲလ်ပံ့ပိုးမှု
- Intel FPGAs နှင့် Programmable Devices Release Notes
၁.၁၃။ Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲနှင့် စက်ပစ္စည်း Release Notes Archives ကို ပံ့ပိုးပါ။
ဤထုတ်ဝေမှုမှတ်စုများ၏ နောက်ဆုံးနှင့် ယခင်ဗားရှင်းများအတွက် Intel Quartus Prime Standard Edition Software နှင့် Device Support Release Notes ကို ကိုးကားပါ။ ဆော့ဖ်ဝဲဗားရှင်းကို စာရင်းမသွင်းပါက၊ ယခင်ဆော့ဖ်ဝဲဗားရှင်းအတွက် ထုတ်ဝေမှုမှတ်စုများ အကျုံးဝင်ပါသည်။
၁.၁၄။ Intel Quartus Prime Standard Edition ဆော့ဖ်ဝဲလ် ဖြန့်ချိမှု ဗားရှင်း 22.1std စာရွက်စာတမ်း ပြန်လည်ပြင်ဆင်မှုမှတ်တမ်း
| စာရွက်စာတမ်းဗားရှင်း | Intel Quartus Prime ဗားရှင်း | အပြောင်းအလဲများ |
| 2023.03.21 | 22.1std.1 | • ဗားရှင်း 22.1std.1 အတွက် အပ်ဒိတ်လုပ်ထားသည်။ • ဗားရှင်း 22.1std အတွက် ပြင်ဆင်ထားသော ဗားရှင်းနံပါတ်။ |
| 2022.11.07 | ၀.၀၃ ရက် | • နောက်ဆုံးသိထားသော ဆော့ဖ်ဝဲလ်ပြဿနာများကို အပ်ဒိတ်လုပ်ထားသည်။ |
| 2022.10.31 | ၀.၀၃ ရက် | •ကန ဦး လွှတ်ပေးရေး။ |
Intel Quartus Prime Standard Edition- ဗားရှင်း 22.1std ဆော့ဖ်ဝဲနှင့် စက်ပံ့ပိုးမှု ဖြန့်ချိရေးမှတ်စုများ
အွန်လိုင်းဗားရှင်း
တုံ့ပြန်ချက်ပေးပို့ပါ။
ID: 683593
RN-01080-22.1std
ဗားရှင်း- 2023.03.21
စာရွက်စာတမ်းများ / အရင်းအမြစ်များ
![]() |
intel Quartus Prime Standard Edition [pdf] အသုံးပြုသူလမ်းညွှန် Quartus Prime Standard Edition၊ Prime Standard Edition၊ Standard Edition |
