eCPRI Intel® FPGA IP ဒီဇိုင်း
Example အသုံးပြုသူလမ်းညွှန်
Intel® အတွက် အပ်ဒိတ်လုပ်ထားသည်။
Quartus®
Prime Design Suite - 23.1
IP ဗားရှင်း- 2.0.3
အမြန်စတင်လမ်းညွှန်
အဆင့်မြှင့်ထားသော Common Public Radio Interface (eCPRI) Intel® FPGA IP core သည် eCPRI သတ်မှတ်ချက်ဗားရှင်း 2.0 ကို အကောင်အထည်ဖော်သည်။ eCPRI Intel FPGA IP သည် simulation testbench နှင့် hardware design ex ကို ပေးဆောင်သည်။ampစုစည်းမှုနှင့် ဟာ့ဒ်ဝဲစမ်းသပ်ခြင်းကို ပံ့ပိုးပေးသော le။ ဒီဇိုင်းထုတ်တဲ့အခါမှာ exampparameter editor သည် အလိုအလျောက် ဖန်တီးပေးပါသည်။ fileဒီဇိုင်းကို ပုံဖော်ရန်၊ စုစည်းရန်နှင့် စမ်းသပ်ရန် လိုအပ်ပါသည်။ampဟာ့ဒ်ဝဲမှာ ပါပါတယ်။
compiled hardware design example ပေါ်တွင်အလုပ်လုပ်သည်-
- Intel Agilex™ 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit
- Intel Agilex 7 F-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit
- H-tile ဒီဇိုင်းဟောင်းအတွက် Intel Stratix® 10 GX Transceiver Signal Integrity Development Kitamples
- E-tile ဒီဇိုင်းဟောင်းအတွက် Intel Stratix 10 TX Transceiver Signal Integrity Development Kitamples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Intel သည် compilation-only ex ကို ပေးသည်။ampIP core ဧရိယာနှင့် အချိန်ကို လျင်မြန်စွာ ခန့်မှန်းရန် သင်သုံးနိုင်သော ပရောဂျက်။
testbench နှင့် ဒီဇိုင်း example သည် Intel Stratix 25 H-tile သို့မဟုတ် E-tile နှင့် eCPRI IP ၏ e-tile သို့မဟုတ် F-tile အမျိုးအစားများအတွက် 10G နှင့် 10G ဒေတာနှုန်းများကို ပံ့ပိုးပေးပါသည်။
မှတ်ချက် - eCPRI IP ဒီဇိုင်း example with interworking function (IWF) သည် လက်ရှိထွက်ရှိထားသော 9.8 Gbps CPRI လိုင်းဘစ်နှုန်းအတွက်သာ ရနိုင်ပါသည်။
မှတ်ချက် - eCPRI IP ဒီဇိုင်း example သည် Intel Arria 10 ဒီဇိုင်းများတွင် 10G ဒေတာနှုန်းအတွက် တက်ကြွသော ပြန်လည်ပြင်ဆင်မှုကို မပံ့ပိုးပါ။
eCPRI Intel FPGA IP core ဒီဇိုင်း example သည် အောက်ပါအင်္ဂါရပ်များကို ပံ့ပိုးပေးသည်-
- အတွင်း TX မှ RX အမှတ်စဉ်လှည့်ပတ်မုဒ်
- မီးပွိုင့်မီးစက်နဲ့ ပါဝင်လာပါတယ်။
- အခြေခံ packet စစ်ဆေးခြင်းစွမ်းရည်
- ဒီဇိုင်းကိုလည်ပတ်ရန်နှင့် ပြန်လည်စမ်းသပ်ခြင်းရည်ရွယ်ချက်အတွက် ဒီဇိုင်းကို ပြန်လည်သတ်မှတ်ရန် System Console ကို အသုံးပြုနိုင်သည်။
Intel ကော်ပိုရေးရှင်း။ မူပိုင်ခွင့်များရယူပြီး။ Intel၊ Intel လိုဂိုနှင့် အခြားသော Intel အမှတ်အသားများသည် Intel ကော်ပိုရေးရှင်း သို့မဟုတ် ၎င်း၏လုပ်ငန်းခွဲများ၏ အမှတ်တံဆိပ်များဖြစ်သည်။ Intel သည် Intel ၏ စံအာမခံချက်နှင့်အညီ ၎င်း၏ FPGA နှင့် တစ်ပိုင်းလျှပ်ကူးပစ္စည်းထုတ်ကုန်များ၏ စွမ်းဆောင်ရည်ကို လက်ရှိ သတ်မှတ်ချက်များအတိုင်း အာမခံထားသော်လည်း မည်သည့်ထုတ်ကုန်နှင့် ဝန်ဆောင်မှုများကိုမဆို အသိပေးခြင်းမရှိဘဲ အချိန်မရွေး အပြောင်းအလဲပြုလုပ်ပိုင်ခွင့်ကို လက်ဝယ်ရှိပါသည်။ Intel မှ စာဖြင့် အတိအလင်း သဘောတူထားသည့်အတိုင်း ဤနေရာတွင် ဖော်ပြထားသော အချက်အလက်၊ ထုတ်ကုန် သို့မဟုတ် ဝန်ဆောင်မှုကို အသုံးပြုခြင်း သို့မဟုတ် အသုံးပြုခြင်းမှ ဖြစ်ပေါ်လာသော တာဝန် သို့မဟုတ် တာဝန်ခံမှု မရှိဟု ယူဆပါသည်။ Intel သုံးစွဲသူများသည် ထုတ်ဝေထားသော အချက်အလက်များနှင့် ထုတ်ကုန် သို့မဟုတ် ဝန်ဆောင်မှုများအတွက် အမှာစာမတင်မီ နောက်ဆုံးဗားရှင်းကို ရယူရန် အကြံပြုအပ်ပါသည်။ *အခြားအမည်များနှင့် အမှတ်တံဆိပ်များကို အခြားသူများ၏ပိုင်ဆိုင်မှုအဖြစ် တောင်းဆိုနိုင်ပါသည်။
ISO 9001:2015 မှတ်ပုံတင်ထားသည်။
ပုံ ၇။ Design Ex အတွက် ဖွံ့ဖြိုးတိုးတက်မှု အဆင့်များample
ဆက်စပ်အချက်အလက်
- eCPRI Intel FPGA IP အသုံးပြုသူလမ်းညွှန်
- eCPRI Intel FPGA IP ထုတ်ဝေမှုမှတ်စုများ
၁.၂။ Hardware နှင့် Software လိုအပ်ချက်များ
ရည်းစားဟောင်းကို စမ်းသပ်ရန်ample ဒီဇိုင်း၊ အောက်ပါ ဟာ့ဒ်ဝဲနှင့် ဆော့ဖ်ဝဲလ်ကို အသုံးပြုပါ။
- Intel Quartus® Prime Pro Edition ဆော့ဖ်ဝဲဗားရှင်း 23.1
- စနစ်ကွန်ဆိုး
- ပံ့ပိုးထားသော Simulators-
Siemens* EDA QuestaSim*
— Synopsys* VCS*
- Synopsys VCS MX
— Aldec* Riviera-PRO*
- Cadence* Xcelium* - ဖွံ့ဖြိုးတိုးတက်ရေးကိရိယာ
— Intel Agilex 7 I-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှု Kit
— Intel Agilex 7 I-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit
— Intel Agilex 7 F-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit အတွက် H-tile စက်ပစ္စည်း ဗားရှင်း ဒီဇိုင်းဟောင်းample
— Intel Stratix 10 TX Transceiver Signal Integrity Development အတွက် E-tile device ကွဲလွဲမှု ဒီဇိုင်း example
— Intel Arria 10 GX Transceiver Signal Integrity Development Kit
ဆက်စပ်အချက်အလက်
- Intel Agilex 7 I-Series FPGA Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
၁.၃။ ဒီဇိုင်းဖန်တီးခြင်း။
ကြိုတင်လိုအပ်ချက်- eCPRI ကို သင်လက်ခံရရှိသည်နှင့်တစ်ပြိုင်နက် web-core IP ကို save လုပ်ပါ။ web-core installer သည် local area သို့ဖြစ်သည်။ installer ကို Windows/Linux ဖြင့် run ပါ။ တောင်းဆိုလာသောအခါတွင် ထည့်သွင်းပါ။ webcore ကို Intel Quartus Prime ဖိုင်တွဲအဖြစ် တည်နေရာတစ်ခုတည်းတွင် ပြုလုပ်ပါ။
eCPRI Intel FPGA IP သည် ယခုအခါ IP Catalog တွင် ပေါ်လာသည်။
သင်၏ eCPRI Intel FPGA IP core ကိုပေါင်းစပ်ရန် Intel Quartus Prime Pro Edition ပရောဂျက်တစ်ခုမရှိသေးပါက၊ တစ်ခုဖန်တီးရပါမည်။
- Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ နှိပ်ပါ။ File ➤ New Project Wizard သည် Intel Quartus Prime ပရောဂျက်အသစ်ကို ဖန်တီးရန် သို့မဟုတ် နှိပ်ပါ။ File ➤ လက်ရှိ Intel Quartus Prime ပရောဂျက်ကိုဖွင့်ရန် ပရောဂျက်ကိုဖွင့်ပါ။ wizard သည် သင့်အား စက်ပစ္စည်းတစ်ခုကို သတ်မှတ်ရန် တောင်းဆိုသည်။
- စက်မိသားစုနှင့် မြန်နှုန်းအဆင့်သတ်မှတ်ချက်များနှင့် ကိုက်ညီသည့် စက်ပစ္စည်းကို သတ်မှတ်ပါ။
- Finish ကိုနှိပ်ပါ။
- IP Catalog တွင်၊ eCPRI Intel FPGA IP ကို ရှာဖွေပြီး နှစ်ချက်နှိပ်ပါ။ New IP Variant ဝင်းဒိုး ပေါ်လာသည်။
eCPRI IP ဟာ့ဒ်ဝဲဒီဇိုင်းဟောင်းကို ထုတ်လုပ်ရန် ဤအဆင့်များကို လိုက်နာပါ။ample နှင့် testbench:
- IP Catalog တွင်၊ eCPRI Intel FPGA IP ကို ရှာဖွေပြီး နှစ်ချက်နှိပ်ပါ။ New IP Variant ဝင်းဒိုး ပေါ်လာသည်။
- OK ကိုနှိပ်ပါ။ ကန့်သတ်ချက်တည်းဖြတ်မှုပေါ်လာသည်။
ပုံ ၇။ Example eCPRI Intel FPGA IP Parameter Editor ရှိ ဒီဇိုင်းထဘ် - ထိပ်တန်းအဆင့်အမည်ကို သတ်မှတ်ပါ။ သင်၏ စိတ်ကြိုက် IP ကွဲပြားမှုအတွက်။ ကန့်သတ်ချက်တည်းဖြတ်သူသည် IP ကွဲပြားမှု ဆက်တင်များကို a တွင် သိမ်းဆည်းသည်။ file အမည်ရှိ .ip
- OK ကိုနှိပ်ပါ။ ကန့်သတ်ချက်တည်းဖြတ်မှုပေါ်လာသည်။
- General tab တွင်၊ သင်၏ IP core ကွဲပြားမှုအတွက် ဘောင်များကို သတ်မှတ်ပါ။
မှတ်ချက် - • သင်သည် ဒီဇိုင်းဟောင်းကို ထုတ်လုပ်သောအခါတွင် သင်သည် eCPRI IP ကန့်သတ်ဘောင် တည်းဖြတ်မှုတွင် Streaming ကန့်သတ်ဘောင်ကို ဖွင့်ရပါမည်။ample Interworking Function (IWF) ပံ့ပိုးမှုပါရာမီတာကို ဖွင့်ထားသည်၊
• ဒီဇိုင်းဟောင်းကိုထုတ်ပေးသောအခါတွင် သင်သည် CPRI လိုင်းဘစ်နှုန်း (Gbit/s) ကို အခြားသူများသို့ သတ်မှတ်ရပါမည်။ample Interworking Function (IWF) Support parameter ကို ဖွင့်ထားသည်။ - အထွက၊ample Design tab၊ testbench ကိုထုတ်လုပ်ရန် simulation option ကိုရွေးချယ်ပါ၊ hardware ex ကိုထုတ်လုပ်ရန်ပေါင်းစပ်ရွေးချယ်မှုကိုရွေးချယ်ပါ။ample ဒီဇိုင်း၊ testbench နှင့် hardware design ex နှစ်ခုလုံးကို ထုတ်လုပ်ရန် ပေါင်းစပ်ခြင်းနှင့် သရုပ်ဖော်ခြင်း option ကို ရွေးပါ။ampလဲ့
- ထိပ်တန်းအဆင့် simulation အတွက် ဘာသာစကား fileVerilog သို့မဟုတ် VHDL ကိုရွေးချယ်ပါ။
မှတ်ချက် - သင့်ဟောင်းအတွက် သရုပ်သကန်ရွေးချယ်မှုကို သင်ရွေးချယ်သည့်အခါမှသာ ဤရွေးချယ်မှုကို ရနိုင်ပါသည်။ample ဒီဇိုင်း။ - ထိပ်တန်းအဆင့်ပေါင်းစပ်မှုအတွက် ဘာသာစကား fileVerilog သို့မဟုတ် VHDL ကိုရွေးချယ်ပါ။
မှတ်ချက် - သင့်ဟောင်းအတွက် Synthesis option ကို သင်ရွေးချယ်သောအခါမှသာ ဤရွေးချယ်မှုကို ရနိုင်ပါသည်။ample ဒီဇိုင်း။ - ချန်နယ်အရေအတွက်အတွက်၊ သင့်ဒီဇိုင်းအတွက် ရည်ရွယ်ထားသည့် ချန်နယ်အရေအတွက် (1 မှ 4) ကို ထည့်သွင်းနိုင်သည်။ မူရင်းတန်ဖိုးမှာ 1 ဖြစ်သည်။
- Generate Ex ကိုနှိပ်ပါ။ample ဒီဇိုင်း။ အထွကို ရွေးပါ။ample Design Directory window ပေါ်လာသည်။
- ဒီဇိုင်းကို ပြင်ချင်ရင် example directory လမ်းကြောင်း သို့မဟုတ် အမည် (ecpri_0_testbench) တွင်ပြသထားသည့် ပုံသေများမှ၊ လမ်းကြောင်းအသစ်သို့ ဝင်ရောက်ပြီး ဒီဇိုင်းအသစ် ex ကို ရိုက်ထည့်ပါ။ample လမ်းညွှန်အမည်။
- OK ကိုနှိပ်ပါ။
ဆက်စပ်အချက်အလက်
eCPRI Intel FPGA IP အသုံးပြုသူလမ်းညွှန်
၂.၁။ လမ်းညွှန်ဖွဲ့စည်းပုံ
eCPRI IP core ဒီဇိုင်း example file လမ်းညွှန်များထဲတွင် အောက်ပါအတိုင်း ထုတ်ပေးပါသည်။ files for the design exampလဲ့
ပုံ ၇။ Generated Ex ၏ လမ်းညွှန်ဖွဲ့စည်းပုံample ဒီဇိုင်း
မှတ်ချက် -
- Intel Arria 10 IP ဒီဇိုင်း ex တွင်သာရှိသည်။ampပြောင်းလဲမှု။
- Intel Stratix 10 (H-tile သို့မဟုတ် E-tile) IP ဒီဇိုင်း exampပြောင်းလဲမှု။
- Intel Agilex E-tile IP ဒီဇိုင်း ex တွင်သာရှိသည်။ampပြောင်းလဲမှု။
ဇယား 1. eCPRI Intel FPGA IP Core Testbench File ဖော်ပြချက်
File အမည်များ | ဖော်ပြချက် |
သော့ Testbench နှင့် Simulation Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | ထိပ်တန်းအဆင့်စမ်းသပ်ခုံ file. testbench သည် DUT wrapper ကို ချက်ခြင်းလုပ်ဆောင်ပြီး packets များထုတ်လုပ်ရန်နှင့် လက်ခံရန်အတွက် Verilog HDL လုပ်ဆောင်ချက်များကို လုပ်ဆောင်သည်။ |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT နှင့် အခြားသော testbench အစိတ်အပိုင်းများကို စိတ်အားထက်သန်စေသော DUT wrapper။ |
<design_example_dir>/simulation/ed_fw/flow.c | C-code အရင်းအမြစ် file. |
Testbench Scripts | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | testbench ကို run ရန် Siemens EDA QuestaSim script |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | Testbench ကိုလည်ပတ်ရန် Synopsys VCS script |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Synopsys VCS MX script ( Verilog HDL နှင့် ပေါင်းစပ်ထားသည်။ Testbench ကိုလည်ပတ်ရန် VHDL ဖြင့် SystemVerilog ။ |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | စမ်းသပ်ခုံတန်းလျားကိုလည်ပတ်ရန် Aldec* Riviera-PRO script |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | testbench ကို run ရန် Cadence* Xcelium script |
ဇယား 2. eCPRI Intel FPGA IP Core ဟာ့ဒ်ဝဲဒီဇိုင်း Example File ဖော်ပြချက်
File အမည်များ | ဖော်ပြချက် |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Intel Quartus Prime ပရောဂျက် file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Intel Quartus Prime ပရောဂျက် ဆက်တင် file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys ဒီဇိုင်း ကန့်သတ်ချက်များ file၎။ ဒါတွေကို ကူးယူပြီး ပြင်ဆင်နိုင်ပါတယ်။ files သင့်ကိုယ်ပိုင် Intel Stratix 10 ဒီဇိုင်းအတွက်။ |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | ထိပ်တန်းအဆင့် Verilog HDL ဒီဇိုင်းဟောင်းample file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT နှင့် အခြားသော testbench အစိတ်အပိုင်းများကို စိတ်အားထက်သန်စေသော DUT wrapper။ |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | အဓိက file System Console ကိုအသုံးပြုရန်အတွက် (Intel Stratix 10 H-tile နှင့် E-tile ဒီဇိုင်းများတွင် ရနိုင်သည်)။ |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | အဓိက file System Console ကိုအသုံးပြုရန်အတွက် (Intel Arria 10 ဒီဇိုင်းများတွင် ရနိုင်သည်)။ |
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | အဓိက file System Console ကိုဝင်ရောက်အသုံးပြုရန်အတွက် (Intel Agilex 7 ဒီဇိုင်းများတွင် ရနိုင်သည်)။ |
၂.၃။ ဒီဇိုင်း Ex ကို အတုယူခြင်း။ample Testbench
ပုံ 4. လုပ်ထုံးလုပ်နည်း
စမ်းသပ်ခုံတန်းလျားကို အတုယူရန် ဤအဆင့်များကို လိုက်နာပါ-
- command prompt တွင်၊ testbench simulation directory သို့ပြောင်းပါ။ample_dir>/simulation/setup_scripts။
- Intel Agilex F-tile စက်ပစ္စည်း ဗားရှင်းများအတွက်၊ ဤအဆင့်များကို လိုက်နာပါ-
a နေရာကိုသွားပါ။ample_dir>/simulation/quartus directory ကို လုပ်ပြီး အောက်ပါ command နှစ်ခုကို run ပါ- quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
တနည်းအားဖြင့် သင်သည် Intel Quartus Prime Pro Edition တွင် ecpri_ed.qpf ပရောဂျက်ကိုဖွင့်ပြီး Logic Generation ကို ပံ့ပိုးသည်အထိ စုစည်းမှုကို လုပ်ဆောင်နိုင်သည်။tage.
ခ နေရာကိုသွားပါ။ample_dir>/simulation/setup_scripts လမ်းညွှန်။
ဂ။ အောက်ပါ command ကို လုပ်ဆောင်ပါ- ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - သင်နှစ်သက်ရာ ပံ့ပိုးပေးထားသော Simulator အတွက် သရုပ်ပြခြင်း script ကို ဖွင့်ပါ။ ဇာတ်ညွှန်းသည် ပေါင်းစပ်ပြီး စမ်းသပ်ခုံတန်းကို စီစစ်စက်တွင် လုပ်ဆောင်သည်။ Testbench ကို အတုယူရန် အဆင့်များ ဇယားကို ကိုးကားပါ။
မှတ်ချက် - စီစဥ်ခြင်းအတွက် VHDL ဘာသာစကား ပံ့ပိုးမှုကို QuestaSim နှင့် VCS MX simulators များဖြင့်သာ ရရှိနိုင်ပါသည်။ စီစစ်ခြင်းအတွက် Verilog ဘာသာစကား ပံ့ပိုးမှုကို ဇယားတွင်ဖော်ပြထားသော Simulator များအားလုံးအတွက် ရနိုင်သည်- Testbench ကို အတုယူရန် အဆင့်များ။ - ရလဒ်များကိုခွဲခြမ်းစိတ်ဖြာပါ။ အောင်မြင်သော testbench သည် packets များကို ပေးပို့လက်ခံပြီး “PASSED” ကိုပြသသည်။
ဇယား 3. Testbench ကို တုပရန် အဆင့်များ
Simulator | ညွှန်ကြားချက်များ |
QuestaSim | Command line တွင်၊ vsim -do run_vsim.do ကိုရိုက်ထည့်ပါ QuestaSim GUI ကိုမထည့်ဘဲ အတုယူလိုပါက vsim -c -do run_vsim.do ကိုရိုက်ထည့်ပါ။ |
VCS | • command line တွင် sh run_vcs.sh ဟု ရိုက်ထည့်ပါ။ • နေရာကိုသွားပါ။ample_dir>/simulation/setup_scripts/ synopsys/vcs နှင့် အောက်ပါ command ကို run ပါ- sh run_vcs.sh |
VCS MX | command line တွင် sh run_vcsmx.sh ဟု ရိုက်ထည့်ပါ။ |
Riviera-PRO | command line တွင် vsim -c -do run_rivierapro.tcl ကိုရိုက်ပါ။ မှတ်ချက် - Intel Stratix 10 H-tile ဒီဇိုင်းမျိုးကွဲများတွင်သာ ပံ့ပိုးထားသည်။ |
Xcelium (၁) | command line တွင် sh run_xcelium.sh ဟု ရိုက်ထည့်ပါ။ |
- ဤ simulator ကို eCPRI Intel FPGA IP ဒီဇိုင်းဟောင်းအတွက် ပံ့ပိုးမထားပါ။ampIWF လုပ်ဆောင်ချက်ကို ဖွင့်ထားခြင်းဖြင့် ထုတ်လုပ်လိုက်ပါသည်။
Sample Output- အောက်ပါ sample output သည် eCPRI IP ဒီဇိုင်း ex ၏ အောင်မြင်သော simulation စမ်းသပ်မှုကို သရုပ်ဖော်သည်။ample IWF အင်္ဂါရပ်မပါဘဲ ချန်နယ်အရေအတွက် = 4 ဖြင့် ဖွင့်ထားသည်-
# RX ချိန်ညှိမှုကို စောင့်နေသည်။
# RX deskew သော့ခတ်ထားသည်။
# RX လမ်းကြော အံဝင်ခွင်ကျသော့ခတ်ထားသည်။
# လင့်ခ်အမှားကို စောင့်မျှော်နေပါသည်။
# လင့်အမှား ရှင်းပါတယ်။
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 0: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 0: 00007788
# MAC Destination Address 0_0 Channel 0: 33445566
# MAC Destination Address 0_1 Channel 0: 00007788
# MAC Destination Address 1_0 Channel 0: 11223344
# MAC Destination Address 1_1 Channel 0: 00005566
# MAC Destination Address 2_0 Channel 0: 22334455
# MAC Destination Address 2_1 Channel 0: 00006677
# MAC Destination Address 3_0 Channel 0: 44556677
# MAC Destination Address 3_1 Channel 0: 00008899
# MAC Destination Address 4_0 Channel 0: 66778899
# MAC Destination Address 4_1 Channel 0: 0000aabb
# MAC Destination Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destination Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0:0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 0: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 0: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 0:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 1: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 1: 00007788
# MAC Destination Address 0_0 Channel 1: 33445566
# MAC Destination Address 0_1 Channel 1: 00007788
# MAC Destination Address 1_0 Channel 1: 11223344
# MAC Destination Address 1_1 Channel 1: 00005566
# MAC Destination Address 2_0 Channel 1: 22334455
# MAC Destination Address 2_1 Channel 1: 00006677
# MAC Destination Address 3_0 Channel 1: 44556677
# MAC Destination Address 3_1 Channel 1: 00008899
# MAC Destination Address 4_0 Channel 1: 66778899
# MAC Destination Address 4_1 Channel 1: 0000aabb
# MAC Destination Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destination Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1:0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 1: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 1: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 1:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 2: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 2: 00007788
# MAC Destination Address 0_0 Channel 2: 33445566
# MAC Destination Address 0_1 Channel 2: 00007788
# MAC Destination Address 1_0 Channel 2: 11223344
# MAC Destination Address 1_1 Channel 2: 00005566
# MAC Destination Address 2_0 Channel 2: 22334455
# MAC Destination Address 2_1 Channel 2: 00006677
# MAC Destination Address 3_0 Channel 2: 44556677
# MAC Destination Address 3_1 Channel 2: 00008899
# MAC Destination Address 4_0 Channel 2: 66778899
# MAC Destination Address 4_1 Channel 2: 0000aabb
# MAC Destination Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destination Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2:0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 2: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 2: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 2:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 3: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 3: 00007788
# MAC Destination Address 0_0 Channel 3: 33445566
# MAC Destination Address 0_1 Channel 3: 00007788
# MAC Destination Address 1_0 Channel 3: 11223344
# MAC Destination Address 1_1 Channel 3: 00005566
# MAC Destination Address 2_0 Channel 3: 22334455
# MAC Destination Address 2_1 Channel 3: 00006677
# MAC Destination Address 3_0 Channel 3: 44556677
# MAC Destination Address 3_1 Channel 3: 00008899
# MAC Destination Address 4_0 Channel 3: 66778899
# MAC Destination Address 4_1 Channel 3: 0000aabb
# MAC Destination Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destination Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3:0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 3: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 3: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 3:2
# __________________________________________________________
# အချက်အလက်- ပြန်လည်သတ်မှတ်မှု အခြေအနေ မရှိတော့ပါ။
# __________________________________________________________
#
#
# ချန်နယ် 0 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ RX EOP အရေအတွက် : 0
# __________________________________________________________
# အချက်အလက်- အထုပ်များကို စတင်ပို့လွှတ်ပါ။
# __________________________________________________________
#
#
# အချက်အလက်- ချန်နယ် 0 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 0 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 1 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 2 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 3 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# __________________________________________________________
# အချက်အလက်- အထုပ်များကို ပို့ခြင်းကို ရပ်ပါ။
# __________________________________________________________
#
#
# __________________________________________________________
# အချက်အလက်- အစုံလိုက်စာရင်းဇယားများကို စစ်ဆေးနေသည်။
# __________________________________________________________
#
#
# ချန်နယ် 0 eCPRI SOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 0 eCPRI EOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 0 eCPRI SOPs ရရှိထားသည်- 300
# ချန်နယ် 0 eCPRI EOPs လက်ခံထားသည်- 300
# ချန်နယ် 0 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 0 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 0 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 0 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 0 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 0 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 0 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 0 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 0 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 0 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 0 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 0 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 0 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 1 eCPRI SOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 1 eCPRI EOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 1 eCPRI SOPs ရရှိထားသည်- 300
# ချန်နယ် 1 eCPRI EOPs လက်ခံထားသည်- 300
# ချန်နယ် 1 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 1 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 1 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 1 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 1 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 1 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 1 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 1 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 1 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 1 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 1 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 1 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 1 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 2 eCPRI SOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 2 eCPRI EOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 2 eCPRI SOPs ရရှိထားသည်- 300
# ချန်နယ် 2 eCPRI EOPs လက်ခံထားသည်- 300
# ချန်နယ် 2 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 2 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 2 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 2 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 2 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 2 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 2 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 2 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 2 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 2 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 2 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 2 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 2 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 3 eCPRI SOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 3 eCPRI EOPs ပို့လွှတ်သည်- 300
# ချန်နယ် 3 eCPRI SOPs ရရှိထားသည်- 300
# ချန်နယ် 3 eCPRI EOPs လက်ခံထားသည်- 300
# ချန်နယ် 3 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 3 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 3 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 3 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 3 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 3 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 3 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 3 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 3 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 3 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 3 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 3 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 3 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# __________________________________________________________
# အချက်အလက်- စာမေးပွဲ အောင်မြင်ပြီး
#
# __________________________________________________________
Sample Output- အောက်ပါ sample output သည် eCPRI IP ဒီဇိုင်း ex ၏ အောင်မြင်သော simulation စမ်းသပ်မှုကို သရုပ်ဖော်သည်။ample ဖြင့် IWF အင်္ဂါရပ်ကို ချန်နယ်အရေအတွက် = 4 ဖြင့် ဖွင့်ထားသည်-
# CPRI TX ကိုဖွင့်ပါ။
# CPRI ချန်နယ် 0 L1_CONFIG : 00000001
# CPRI ချန်နယ် 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ချန်နယ် 1 L1_CONFIG : 00000001
# CPRI ချန်နယ် 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ချန်နယ် 2 L1_CONFIG : 00000001
# CPRI ချန်နယ် 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI ချန်နယ် 3 L1_CONFIG : 00000001
# CPRI ချန်နယ် 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX ချိန်ညှိမှုကို စောင့်နေသည်။
# RX deskew သော့ခတ်ထားသည်။
# RX လမ်းကြော အံဝင်ခွင်ကျသော့ခတ်ထားသည်။
# လင့်ခ်အမှားကို စောင့်မျှော်နေပါသည်။
# လင့်အမှား ရှင်းပါတယ်။
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 0: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 0: 00007788
# MAC Destination Address 0_0 Channel 0: 33445566
# MAC Destination Address 0_1 Channel 0: 00007788
# MAC Destination Address 1_0 Channel 0: 11223344
# MAC Destination Address 1_1 Channel 0: 00005566
# MAC Destination Address 2_0 Channel 0: 22334455
# MAC Destination Address 2_1 Channel 0: 00006677
# MAC Destination Address 3_0 Channel 0: 44556677
# MAC Destination Address 3_1 Channel 0: 00008899
# MAC Destination Address 4_0 Channel 0: 66778899
# MAC Destination Address 4_1 Channel 0: 0000aabb
# MAC Destination Address 5_0 Channel 0: 778899aa
# MAC Destination Address 5_1 Channel 0: 0000bbcc
# MAC Destination Address 6_0 Channel 0: 8899aabb
# MAC Destination Address 6_1 Channel 0:0000ccdd
# MAC Destination Address 7_0 Channel 0: 99aabbcc
# MAC Destination Address 7_1 Channel 0:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 0: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 0: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 0:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 1: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 1: 00007788
# MAC Destination Address 0_0 Channel 1: 33445566
# MAC Destination Address 0_1 Channel 1: 00007788
# MAC Destination Address 1_0 Channel 1: 11223344
# MAC Destination Address 1_1 Channel 1: 00005566
# MAC Destination Address 2_0 Channel 1: 22334455
# MAC Destination Address 2_1 Channel 1: 00006677
# MAC Destination Address 3_0 Channel 1: 44556677
# MAC Destination Address 3_1 Channel 1: 00008899
# MAC Destination Address 4_0 Channel 1: 66778899
# MAC Destination Address 4_1 Channel 1: 0000aabb
# MAC Destination Address 5_0 Channel 1: 778899aa
# MAC Destination Address 5_1 Channel 1: 0000bbcc
# MAC Destination Address 6_0 Channel 1: 8899aabb
# MAC Destination Address 6_1 Channel 1:0000ccdd
# MAC Destination Address 7_0 Channel 1: 99aabbcc
# MAC Destination Address 7_1 Channel 1:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 1: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 1: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 1:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 2: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 2: 00007788
# MAC Destination Address 0_0 Channel 2: 33445566
# MAC Destination Address 0_1 Channel 2: 00007788
# MAC Destination Address 1_0 Channel 2: 11223344
# MAC Destination Address 1_1 Channel 2: 00005566
# MAC Destination Address 2_0 Channel 2: 22334455
# MAC Destination Address 2_1 Channel 2: 00006677
# MAC Destination Address 3_0 Channel 2: 44556677
# MAC Destination Address 3_1 Channel 2: 00008899
# MAC Destination Address 4_0 Channel 2: 66778899
# MAC Destination Address 4_1 Channel 2: 0000aabb
# MAC Destination Address 5_0 Channel 2: 778899aa
# MAC Destination Address 5_1 Channel 2: 0000bbcc
# MAC Destination Address 6_0 Channel 2: 8899aabb
# MAC Destination Address 6_1 Channel 2:0000ccdd
# MAC Destination Address 7_0 Channel 2: 99aabbcc
# MAC Destination Address 7_1 Channel 2:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 2: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 2: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 2:2
# MAC အရင်းအမြစ်လိပ်စာ 0_0 ချန်နယ် 3: 33445566
# MAC အရင်းအမြစ်လိပ်စာ 0_1 ချန်နယ် 3: 00007788
# MAC Destination Address 0_0 Channel 3: 33445566
# MAC Destination Address 0_1 Channel 3: 00007788
# MAC Destination Address 1_0 Channel 3: 11223344
# MAC Destination Address 1_1 Channel 3: 00005566
# MAC Destination Address 2_0 Channel 3: 22334455
# MAC Destination Address 2_1 Channel 3: 00006677
# MAC Destination Address 3_0 Channel 3: 44556677
# MAC Destination Address 3_1 Channel 3: 00008899
# MAC Destination Address 4_0 Channel 3: 66778899
# MAC Destination Address 4_1 Channel 3: 0000aabb
# MAC Destination Address 5_0 Channel 3: 778899aa
# MAC Destination Address 5_1 Channel 3: 0000bbcc
# MAC Destination Address 6_0 Channel 3: 8899aabb
# MAC Destination Address 6_1 Channel 3:0000ccdd
# MAC Destination Address 7_0 Channel 3: 99aabbcc
# MAC Destination Address 7_1 Channel 3:0000ddee
# eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 3: 00000041
# ကြားဖြတ် eCPRI ဘုံထိန်းချုပ်ရေးချန်နယ် 3: 00000241 ကိုဖွင့်ပါ။
# eCPRI ဗားရှင်း ချန်နယ် 3:2
# HSYNC ချိတ်ဆက်မှုအခြေအနေရရှိရန် CPRI ကို စောင့်နေသည်။
# CPRI Channel 0 HSYNC ပြည်နယ် အောင်မြင်ခဲ့သည်။
# CPRI Channel 1 HSYNC ပြည်နယ် အောင်မြင်ခဲ့သည်။
# CPRI Channel 2 HSYNC ပြည်နယ် အောင်မြင်ခဲ့သည်။
# CPRI Channel 3 HSYNC ပြည်နယ် အောင်မြင်ခဲ့သည်။
#11100250000 1 ကို nego_bitrate_complete သို့ ရေးပါ။
#11100650000 မဲစာရင်း PROT_VER Channel သို့ ၀င်ပါ။
# __________________________________________________________
#11100850000 မဲစာရင်း မှတ်ပုံတင်: a0000010
# __________________________________________________________
#13105050000 မဲစာရင်း PROT_VER Channel သို့ ၀င်ပါ။
# __________________________________________________________
#13105250000 မဲစာရင်း မှတ်ပုံတင်: a0800010
# __________________________________________________________
#13105950000 မဲစာရင်း PROT_VER Channel သို့ ၀င်ပါ။
# __________________________________________________________
#13106150000 မဲစာရင်း မှတ်ပုံတင်: a1000010
# __________________________________________________________
#13106850000 မဲစာရင်း PROT_VER Channel သို့ ၀င်ပါ။
# __________________________________________________________
#13107050000 မဲစာရင်း မှတ်ပုံတင်: a1800010
# __________________________________________________________
#13107750000 1 to nego_protol_complete ရေးပါ။
#13108150000 မဲစာရင်း CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# __________________________________________________________
#13108350000 မဲစာရင်း မှတ်ပုံတင်: a0000020
# __________________________________________________________
#14272050000 မဲစာရင်း CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# __________________________________________________________
#14272250000 မဲစာရင်း မှတ်ပုံတင်: a0800020
# __________________________________________________________
#14272950000 မဲစာရင်း CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# __________________________________________________________
#14273150000 မဲစာရင်း မှတ်ပုံတင်: a1000020
# __________________________________________________________
#14273850000 မဲစာရင်း CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# __________________________________________________________
#14274050000 မဲစာရင်း မှတ်ပုံတင်: a1800020
# __________________________________________________________
#14274750000 1 to nego_cm_complete ရေးပါ။
#14275150000 1 to nego_vss_complete ရေးပါ။
# CPRI Channel 0 HSYNC & startup sequence FSM STATE_F အောင်မြင်ရန် စောင့်နေသည်။
# CPRI Channel 0 HSYNC & startup sequence FSM STATE_F အောင်မြင်သည်။
# CPRI Channel 1 HSYNC & startup sequence FSM STATE_F အောင်မြင်ရန် စောင့်နေသည်။
# CPRI Channel 1 HSYNC & startup sequence FSM STATE_F အောင်မြင်သည်။
# CPRI Channel 2 HSYNC & startup sequence FSM STATE_F အောင်မြင်ရန် စောင့်နေသည်။
# CPRI Channel 2 HSYNC & startup sequence FSM STATE_F အောင်မြင်သည်။
# CPRI Channel 3 HSYNC & startup sequence FSM STATE_F အောင်မြင်ရန် စောင့်နေသည်။
# CPRI Channel 3 HSYNC & startup sequence FSM STATE_F အောင်မြင်သည်။
# __________________________________________________________
# အချက်အလက်- ပြန်လည်သတ်မှတ်မှု အခြေအနေ မရှိတော့ပါ။
# __________________________________________________________
#
#
# ချန်နယ် 0 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 0 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 0 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 1 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 1 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 2 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 2 ပြင်ပ RX EOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI TX SOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI TX EOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI RX SOP အရေအတွက် : 0
# ချန်နယ် 3 eCPRI RX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ PTP TX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ PTP TX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ MISC TX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ MISC TX EOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ RX SOP အရေအတွက် : 0
# ချန်နယ် 3 ပြင်ပ RX EOP အရေအတွက် : 0
# __________________________________________________________
# အချက်အလက်- အထုပ်များကို စတင်ပို့လွှတ်ပါ။
# __________________________________________________________
#
#
# အချက်အလက်- ချန်နယ် 0 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 0 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 0 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 1 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 1 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 2 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 2 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI TX အသွားအလာ လွှဲပြောင်းမှုကို အပြီးသတ်ရန် စောင့်နေသည်။
# အချက်အလက်- ချန်နယ် 3 eCPRI TX အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX PTP အသွားအလာလွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX PTP အသွားအလာ လွှဲပြောင်းမှု ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX မှ အသွားအလာ လွှဲပြောင်းမှုကို စောင့်နေသည်။
ပြီးပါပြီ။
# အချက်အလက်- ချန်နယ် 3 eCPRI ပြင်ပ TX အထွေထွေ လမ်းကြောင်းလွှဲပြောင်းမှု ပြီးမြောက်ပါပြီ။
# __________________________________________________________
# အချက်အလက်- အထုပ်များကို ပို့ခြင်းကို ရပ်ပါ။
# __________________________________________________________
#
#
# __________________________________________________________
# အချက်အလက်- အစုံလိုက်စာရင်းဇယားများကို စစ်ဆေးနေသည်။
# __________________________________________________________
#
#
# ချန်နယ် 0 eCPRI SOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 0 eCPRI EOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 0 eCPRI SOPs ရရှိထားသည်- 50
# ချန်နယ် 0 eCPRI EOPs လက်ခံထားသည်- 50
# ချန်နယ် 0 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 0 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 0 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 0 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 0 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 0 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 0 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 0 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 0 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 0 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 0 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 0 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 0 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 1 eCPRI SOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 1 eCPRI EOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 1 eCPRI SOPs ရရှိထားသည်- 50
# ချန်နယ် 1 eCPRI EOPs လက်ခံထားသည်- 50
# ချန်နယ် 1 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 1 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 1 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 1 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 1 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 1 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 1 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 1 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 1 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 1 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 1 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 1 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 1 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 2 eCPRI SOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 2 eCPRI EOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 2 eCPRI SOPs ရရှိထားသည်- 50
# ချန်နယ် 2 eCPRI EOPs လက်ခံထားသည်- 50
# ချန်နယ် 2 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 2 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 2 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 2 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 2 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 2 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 2 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 2 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 2 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 2 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 2 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 2 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 2 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 3 eCPRI SOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 3 eCPRI EOPs ပို့လွှတ်သည်- 50
# ချန်နယ် 3 eCPRI SOPs ရရှိထားသည်- 50
# ချန်နယ် 3 eCPRI EOPs လက်ခံထားသည်- 50
# ချန်နယ် 3 eCPRI အမှားအစီရင်ခံခဲ့သည်- 0
# ချန်နယ် 3 ပြင်ပ PTP SOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 3 ပြင်ပ PTP EOP များ ပို့လွှတ်သည်- 4
# ချန်နယ် 3 ပြင်ပ MISC SOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 3 ပြင်ပ MISC EOP များ ပို့လွှတ်သည်- 128
# ချန်နယ် 3 ပြင်ပ SOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 3 ပြင်ပ EOPs လက်ခံရရှိထားသည်- 132
# ချန်နယ် 3 ပြင်ပ PTP SOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 3 ပြင်ပ PTP EOPs လက်ခံရရှိထားသည်- 4
# ချန်နယ် 3 ပြင်ပ MISC SOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 3 ပြင်ပ MISC EOPs လက်ခံရရှိထားသည်- 128
# ချန်နယ် 3 ပြင်ပအမှားအစီရင်ခံခဲ့သည်- 0
# Channel 3 External Timestamp လက်ဗွေရာအမှားအစီရင်ခံခဲ့သည်- 0
# __________________________________________________________
# အချက်အလက်- စာမေးပွဲ အောင်မြင်ပြီး
#
# __________________________________________________________
၁.၄.၁။ Ethernet IP သို့ Dynamic Reconfiguration ကိုဖွင့်ခြင်း။
မူရင်းအားဖြင့်၊ eCPRI IP ဒီဇိုင်း ex တွင် ပြောင်းလဲနေသော ပြန်လည်ဖွဲ့စည်းမှုကို ပိတ်ထားသည်။ample သည် Intel Stratix 10 (E-tile နှင့် H-tile) နှင့် Intel Agilex 7 (E-tile) ဒီဇိုင်းဟောင်းနှင့်သာ သက်ဆိုင်ပါသည်။amples
- ထုတ်ပေးထားသော test_wrapper.sv ရှိ အောက်ပါစာကြောင်းကို ရှာပါ။ample_dir>/simulation/testbench လမ်းညွှန်- ကန့်သတ်ချက် ETHERNET_DR_EN = 0
- တန်ဖိုးကို 0 မှ 1 သို့ပြောင်းပါ- ပါရာမီတာ ETHERNET_DR_EN = 1
- တူညီသောထုတ်လုပ်ထားသော ex ကို အသုံးပြု၍ သရုပ်ပြမှုကို ပြန်လုပ်ပါ။ample ဒီဇိုင်းလမ်းညွှန်။
၁.၅။ Compilation-Only Project ကို ပြုစုခြင်း။
compile-only ex compile လုပ်ရန်ampပရောဂျက်၊ ဤအဆင့်များကို လိုက်နာပါ-
- compilation design ကို သေချာလုပ်ပါ။ampမျိုးဆက်ပြီးပါပြီ။
- Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ Intel Quartus Prime Pro Edition ပရောဂျက်ကိုဖွင့်ပါ။ample_dir>/synthesis/quartus/ ecpri_ed.qpf။
- Processing menu တွင် Start Compilation ကိုနှိပ်ပါ။
- အောင်မြင်စွာစုစည်းပြီးနောက်၊ အချိန်နှင့်အရင်းအမြစ်အသုံးချမှုအတွက် အစီရင်ခံစာများကို သင်၏ Intel Quartus Prime Pro Edition စက်ရှင်တွင် ရနိုင်ပါသည်။ လုပ်ဆောင်ခြင်းသို့ ➤ စုစည်းမှုအစီရင်ခံစာသို့ သွားပါ။ view စုစည်းမှုဆိုင်ရာ အသေးစိတ်အစီရင်ခံစာ။
ဆက်စပ်အချက်အလက်
Block-Based Design Flows
၁.၅။ ဒီဇိုင်းထွကို စုစည်းခြင်းနှင့် ပြင်ဆင်ခြင်းampHardware မှာ ပါပါတယ်။
ဟာ့ဒ်ဝဲ ဒီဇိုင်းကို စုစည်းရန်ampသင်၏ Intel စက်ပစ္စည်းပေါ်တွင် ၎င်းကို configure လုပ်ပါ၊ ဤအဆင့်များကို လိုက်နာပါ-
- ဟာ့ဒ်ဝဲ ဒီဇိုင်းကို သေချာပါစေ။ampမျိုးဆက်ပြီးပါပြီ။
- Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ Intel Quartus Prime ပရောဂျက်ကိုဖွင့်ပါ။ample_dir>/synthesis/quartus/ecpri_ed.qpf။
- Processing menu တွင် Start Compilation ကိုနှိပ်ပါ။
- အောင်မြင်စွာစုစည်းပြီးနောက်၊ a .sof file တွင်ရရှိနိုင်ပါသည်။ample_dir>/ synthesis/quartus/output_files လမ်းညွှန်။ ဟာ့ဒ်ဝဲဒီဇိုင်းဟောင်းကို ပရိုဂရမ်လုပ်ရန် ဤအဆင့်များကို လိုက်နာပါ။ampIntel FPGA စက်ပစ္စည်းပေါ်ရှိ။
a Development Kit ကို host ကွန်ပျူတာသို့ ချိတ်ဆက်ပါ။
ခ ဖွံ့ဖြိုးတိုးတက်မှုကိရိယာ၏တစ်စိတ်တစ်ပိုင်းဖြစ်သည့် Clock Control အပလီကေးရှင်းကိုဖွင့်ပြီး ဒီဇိုင်းဟောင်းအတွက် ကြိမ်နှုန်းအသစ်များကို သတ်မှတ်ပါ။ampလဲ့ အောက်တွင် Clock Control အပလီကေးရှင်းရှိ ကြိမ်နှုန်းဆက်တင်သည်-
• သင်သည် Intel Stratix 10 GX SI Development Kit တွင် သင့်ဒီဇိုင်းကို ပစ်မှတ်ထားနေပါက-
- U5၊ OUT8- 100 MHz
- U6၊ OUT3- 322.265625 MHz
- U6၊ OUT4 နှင့် OUT5- 307.2 MHz
• သင်သည် Intel Stratix 10 TX SI Development Kit တွင် သင့်ဒီဇိုင်းကို ပစ်မှတ်ထားနေပါက-
- U1၊ CLK4- 322.265625 MHz (25G ဒေတာနှုန်းအတွက်)
U6- 156.25 MHz (10G ဒေတာနှုန်းအတွက်)
- U3၊ OUT3- 100 MHz
- U3၊ OUT8- 153.6 MHz
• သင်သည် Intel Agilex 7 F-Series Transceiver-SoC Development Kit တွင် သင့်ဒီဇိုင်းကို ပစ်မှတ်ထားနေပါက-
- U37၊ CLK1A- 100 MHz
- U34၊ CLK0P- 156.25 MHz
U38၊ OUT2_P- 153.6 MHz
• သင်သည် Intel Arria 10 GX SI Development Kit တွင် သင့်ဒီဇိုင်းကို ပစ်မှတ်ထားနေပါက-
- U52၊ CLK0- 156.25 MHz
- U52၊ CLK1- 250 MHz
- U52၊ CLK3- 125 MHz
Y5- 307.2 MHz
Y6- 322.265625 MHz
ဂ။ Tools မီနူးတွင် Programmer ကိုနှိပ်ပါ။
ဃ။ Programmer တွင် Hardware Setup ကိုနှိပ်ပါ။
င ပရိုဂရမ်းမင်းကိရိယာကို ရွေးပါ။
f သင်၏ Intel Quartus Prime Pro Edition စက်ရှင်ကို ချိတ်ဆက်နိုင်သည့် Development Kit ကို ရွေးချယ်ပြီး ထည့်ပါ။
ဆ မုဒ်ကို J သို့ သတ်မှတ်ထားကြောင်း သေချာပါစေ။TAG.
ဇ စက်ကိုရွေးချယ်ပြီး Add Device ကိုနှိပ်ပါ။ ပရိုဂရမ်မာသည် သင့်ဘုတ်ပေါ်ရှိ စက်များကြားရှိ ချိတ်ဆက်မှုများကို ပိတ်ဆို့သည့်ပုံစံကို ပြသသည်။
ငါ .sof ကို တင်ပါ။ file သင်၏သက်ဆိုင်ရာ Intel FPGA စက်သို့။
ည။ အကောင်အထည်ဖော်နိုင်သောနှင့် ချိတ်ဆက်ခြင်းဖော်မတ် (.elf) ကို တင်ပါ file သင်၏ Intel Stratix 10 သို့
အကယ်၍ သင်သည် 7G နှင့် 25G အကြား ဒေတာနှုန်းကို ပြောင်းရန် dynamic reconfiguration (DR) ကို လုပ်ဆောင်ရန် စီစဉ်ထားပါက Intel Agilex 10 စက်ပစ္စည်း။ အကောင်အထည်ဖော်နိုင်သော နှင့် ချိတ်ဆက်မှုပုံစံ (.elf) ပရိုဂရမ်းမင်းကို ထုတ်လုပ်ခြင်းနှင့် ဒေါင်းလုဒ်လုပ်ခြင်းမှ ညွှန်ကြားချက်များကို လိုက်နာပါ။ File .elf ကို ထုတ်လုပ်ရန် စာမျက်နှာ 38 တွင် file.
ဋ သင်၏ .sof နှင့် အတန်းထဲတွင် .sof အတွက် Program/Configure အကွက်ကို အမှန်ခြစ်ပါ။ file.
ဌ။ Start ကိုနှိပ်ပါ။
ဆက်စပ်အချက်အလက်
- Block-Based ဒီဇိုင်း
- Intel Quartus Prime ပရိုဂရမ်မာ အသုံးပြုသူလမ်းညွှန်
- System Console ဖြင့် ပိုင်းခြားစိတ်ဖြာခြင်းနှင့် အမှားရှာခြင်း ဒီဇိုင်းများ
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit အသုံးပြုသူလမ်းညွှန်
၁.၇။ eCPRI Intel FPGA IP Design Ex ကို စမ်းသပ်ခြင်း။ample
eCPRI Intel FPGA IP core ဒီဇိုင်း ex ကို စုစည်းပြီးနောက်ample နှင့် သင်၏ Intel FPGA စက်ပစ္စည်းတွင် ၎င်းကို configure လုပ်ပါ၊ သင်သည် IP core နှင့် ၎င်း၏ မြှုပ်သွင်းထားသော Native PHY IP core မှတ်ပုံတင်မှုများကို အစီအစဉ်ဆွဲရန် System Console ကို အသုံးပြုနိုင်ပါသည်။
System Console ကိုဖွင့်ရန်နှင့် ဟာ့ဒ်ဝဲဒီဇိုင်းဟောင်းကို စမ်းသပ်ရန်ample၊ ဤအဆင့်များကို လိုက်နာပါ-
- ဟာ့ဒ်ဝဲလ် ဒီဇိုင်းဟောင်းကို ကြည့်ပြီးမှ၊ample ကို Intel စက်ပစ္စည်းပေါ်တွင် ပြင်ဆင်သတ်မှတ်ထားပြီး Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲလ်တွင်၊ Tools မီနူးရှိ System Debugging Tools ➤ System Console ကိုနှိပ်ပါ။
- Tcl Console pane တွင်၊ directory ကိုပြောင်းပါ။ample_dir>/ synthesis/quartus/hardware_test နှင့် J ချိတ်ဆက်မှုကိုဖွင့်ရန် အောက်ပါ command ကိုရိုက်ပါ။TAG ကျွမ်းကျင်ပြီး စမ်းသပ်မှုကို စတင်ပါ။
• Intel Agilex 7 ဒီဇိုင်းများအတွက် အရင်းအမြစ် ecpri_agilex.tcl
• Intel Stratix 10 ဒီဇိုင်းများအတွက် အရင်းအမြစ် ecpri_s10.tcl
• Intel Arria 10 ဒီဇိုင်းများအတွက် အရင်းအမြစ် ecpri_a10.tcl - သင်၏ Intel Stratix 10 သို့မဟုတ် Intel Agilex 7 E-tile စက်ပစ္စည်း အမျိုးအစားများ အတွက်၊ သင်သည် .sof ကို ပရိုဂရမ်ပြီးသည်နှင့် တစ်ပြိုင်နက် အတွင်းပိုင်း သို့မဟုတ် ပြင်ပ loopback အမိန့်ကို လုပ်ဆောင်ရပါမည်။ file:
a flow.c ရှိ TEST_MODE ကိန်းရှင်ကို မွမ်းမံပါ။ file loopback မုဒ်ကို ရွေးရန်-TEST_MODE အက်ရှင် 0 သရုပ်ဖော်ခြင်းအတွက်သာ အမှတ်စဉ်လှည့်ကွက်ကို ဖွင့်ပါ။ 1 ဟာ့ဒ်ဝဲအတွက်သာ အမှတ်စဉ်လှည့်ကွက်ကို ဖွင့်ပါ။ 2 အမှတ်စဉ် loopback နှင့် ချိန်ညှိခြင်း။ 3 ချိန်ညှိခြင်းသာ flow.c ကိုပြောင်းလဲသည့်အခါတိုင်း သင်သည် NIOS II ဆော့ဖ်ဝဲလ်ကို ပြန်လည်စုစည်းပြီး ပြန်လည်ထုတ်ပေးရပါမည်။ file.
ခ .elf ကို ပြန်ထုတ်ပါ။ file ဘုတ်အဖွဲ့ကို နောက်တစ်ကြိမ် ပရိုဂရမ်လုပ်ပြီး .sof ကို ပြန်လည်အစီအစဉ်ချပါ။ file. - စနစ်ကွန်ဆိုးလ်စခရစ်တွင် ပံ့ပိုးပေးထားသည့် ညွှန်ကြားချက်များဖြင့် ဒီဇိုင်းလုပ်ဆောင်မှုကို စမ်းသပ်ပါ။ စနစ်ကွန်ဆိုးလ် script သည် စာရင်းဇယားများဖတ်ရှုခြင်းအတွက် အသုံးဝင်သော command များကို ပေးဆောင်ပြီး ဒီဇိုင်းတွင်အသုံးပြုနိုင်သောအင်္ဂါရပ်များဖြစ်သည်။
Table 4. System Console Script Commands
အမိန့်ပေးသည်။ | ဖော်ပြချက် |
loop_on | TX သို့ RX အတွင်းပိုင်း အမှတ်စဉ် လှည့်ပတ်မှုကို ဖွင့်ပေးသည်။ Intel Stratix 10 H-tile နှင့် Intel Arria 10 စက်များအတွက်သာ အသုံးပြုပါ။ |
loop_off | TX သို့ RX အတွင်းပိုင်း အမှတ်စဉ် လှည့်ပတ်မှုကို ပိတ်သည်။ Intel Stratix 10 H-tile နှင့် Intel Arria 10 စက်များအတွက်သာ အသုံးပြုပါ။ |
link _ init _ int _1pbk | TX မှ RX အတွင်းပိုင်း အမှတ်စဉ် လှည့်ပတ်ခြင်းကို ဖွင့်ပြီး transceiver အတွင်းရှိ transceiver calibration flow ကို လုပ်ဆောင်သည်။ Intel Stratix 10 E-tile နှင့် Intel Agilex 7 E-tile ဒီဇိုင်းများအတွက်သာ သက်ဆိုင်ပါသည်။ |
link _ init _ ext _1pbk | TX သို့ RX ပြင်ပလှည့်ပတ်မှုကိုဖွင့်ပြီး transceiver calibration flow ကိုလုပ်ဆောင်သည်။ Intel Stratix 10 E-tile နှင့် Intel Agilex 7 E-tile ဒီဇိုင်းများအတွက်သာ သက်ဆိုင်ပါသည်။ |
traffic gen ကို disable လုပ်ပါ။ | အသွားအလာ ဂျင်နရေတာနှင့် စစ်ဆေးမှုကို ပိတ်ပါ။ |
chkmac ကိန်းဂဏန်းများ | Ethernet MAC အတွက် စာရင်းအင်းများကို ပြသသည်။ |
read_ test_ စာရင်းဇယား | ယာဉ်အသွားအလာ ဂျင်နရေတာနှင့် စစ်ဆေးမှုများအတွက် အမှားအယွင်းစာရင်းဇယားကို ပြသပါ။ |
ext _ ဆက်တိုက် _ မုဒ် _en | ဒီဇိုင်းစနစ်တစ်ခုလုံးကို ပြန်လည်သတ်မှတ်ပြီး အသွားအလာ ဂျင်နရေတာအား စဉ်ဆက်မပြတ် အသွားအလာ ပက်ကေ့ဂျ်များ ထုတ်လုပ်နိုင်စေပါသည်။ |
dr _ 25g _ to _ lOg _etile | Ethernet MAC ၏ဒေတာနှုန်းကို 25G မှ 10G သို့ပြောင်းသည်။ Intel Stratix 10 E-tile နှင့် Intel Agilex 7 E-tile စက်ပစ္စည်းများအတွက်သာ အသုံးပြုပါ။ |
dr_25g_to_10g_htile | Ethernet MAC ၏ဒေတာနှုန်းကို 25G မှ 10G သို့ပြောင်းသည်။ H-tile စက်ပစ္စည်းများအတွက်သာ အသုံးပြုပါ။ |
dr_10g_to_25g_etile | Ethernet MAC ၏ဒေတာနှုန်းကို 10G မှ 25G သို့ပြောင်းသည်။ Intel Stratix 10 E-tile နှင့် Intel Agilex 7 E-tile စက်ပစ္စည်းများအတွက်သာ အသုံးပြုပါ။ |
dr _ 25g _ to _ lOg _htile | Ethernet MAC ၏ဒေတာနှုန်းကို 10G မှ 25G သို့ပြောင်းသည်။ H-tile စက်ပစ္စည်းများအတွက်သာ အသုံးပြုပါ။ |
အောက်ပါ sample output သည် အောင်မြင်သော စမ်းသပ်လည်ပတ်မှုကို သရုပ်ဖော်သည်-
စနစ်ကွန်ဆိုးလ် ပရင့်ထုတ်ခြင်း (ချန်နယ်အရေအတွက် = 1)
ချန်နယ် 0 EXT PTP TX SOP အရေအတွက်- 256
ချန်နယ် 0 EXT PTP TX EOP အရေအတွက်- 256
ချန်နယ် 0 EXT MISC TX SOP Count: 36328972
ချန်နယ် 0 EXT MISC TX EOP Count: 36369511
ချန်နယ် 0 EXT RX SOP Count: 36410364
ချန်နယ် 0 EXT RX EOP Count: 36449971
ချန်နယ် 0 EXT စစ်ဆေးခြင်း အမှားများ- 0
Channel 0 EXT Checker Error Counts: 0
ချန်နယ် 0 EXT PTP လက်ဗွေ အမှားများ- 0
ချန်နယ် 0 EXT PTP လက်ဗွေ အမှားအရေအတွက်- 0
ချန်နယ် 0 TX SOP အရေအတွက်- 1337760
ချန်နယ် 0 TX EOP အရေအတွက်- 1339229
ချန်နယ် 0 RX SOP Count: 1340728
ချန်နယ် 0 RX EOP Count: 1342555
Channel 0 Checker အမှားများ- 0
Channel 0 Checker Error Counts: 0
=============================================================================
=============
ချန်နယ် 0 (Rx) အတွက် ETHERNET MAC စာရင်းအင်းများ
=============================================================================
=============
Fragmented Frames : 0
Jabbered Frames : 0
FCS Err Frames ဖြင့် ညာဘက်အရွယ်အစား : 0
Multicast data အမှားဘောင်များ : 0
အသံလွှင့်ဒေတာ Err Frames : 0
Unicast data Err Frames : 0
64 Byte Frames : 3641342
65 – 127 Byte Frames : 0
128 – 255 Byte Frames : 37404809
256 – 511 Byte Frames : 29128650
512 – 1023 Byte Frames : 0
1024 – 1518 Byte Frames : 0
1519 – MAX Byte Frames : 0
> MAX Byte Frames : 0
Multicast data OK Frame : 70174801
ထုတ်လွှင့်မှုဒေတာ OK Frame : 0
Unicast data OK Frames : 0
Multicast ထိန်းချုပ်မှုဘောင်များ : 0
ထုတ်လွှင့်မှု ထိန်းချုပ်ရေးဘောင်များ : 0
Unicast ထိန်းချုပ်မှုဘောင်များ : 0
ခေတ္တရပ်ရန် ထိန်းချုပ်ဘောင်များ : 0
Payload Octets OK : 11505935812
Frame Octets OK : 12918701444
Rx အများဆုံးဘောင်အရှည် : 1518
FCS အမှားဘောင် : 0
Multicast ထိန်းချုပ်မှု အမှားဘောင် : 0
ထုတ်လွှင့်မှု ထိန်းချုပ်မှု အမှားဘောင် : 0
Unicast ထိန်းချုပ်မှု Err Frames : 0
ထိန်းချုပ်မှု ခေတ္တရပ်ခြင်း အမှားဘောင်များ : 0
Rx Frame စတင်သည် : 70174801
အောက်ဖော်ပြပါ သည် ၎amp25G မှ 10G DR စမ်းသပ်လည်ပတ်မှုအတွက် le output-
System Console Printout (25G မှ 10G DR E-tile)
Ethernet 25G -> 10G အတွက် Dynamic Reconfiguration ကို စတင်ပါ။
DR အောင်မြင်သော 25G -> 10G
RX PHY ဝင်ရောက်ခွင့် မှတ်ပုံတင်ခြင်း- နာရီကြိမ်နှုန်းများ (KHz) စစ်ဆေးနေသည်
TXCLK : 16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY အခြေအနေမဲစာရင်း
Rx ကြိမ်နှုန်းလော့ခ်အခြေအနေ 0x0000000f
Mac Clock သည် OK Condition ရှိပါသလား။ 0x00000001
Rx Frame Error ? 0x00000000
Rx PHY အပြည့်အဝ ချိန်ညှိထားပါသလား။ 0x00000001
မဲစာရင်းကို RX PHY Channel မှာ ၀င်ပါ။
RX PHY Channel 0 သည် စတင်လည်ပတ်နေပြီဖြစ်သည်။
System Console Printout (25G မှ 10G DR H-tile)
Ethernet 25G -> 10G အတွက် Dynamic Reconfiguration ကို စတင်ပါ။
DR အောင်မြင်သော 25G -> 10G
RX PHY ဝင်ရောက်ခွင့် မှတ်ပုံတင်ခြင်း- နာရီကြိမ်နှုန်းများ (KHz) စစ်ဆေးနေသည်
TXCLK : 15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY အခြေအနေမဲစာရင်း
Rx ကြိမ်နှုန်းလော့ခ်အခြေအနေ 0x00000001
Mac Clock သည် OK Condition ရှိပါသလား။ 0x00000007
Rx Frame Error ? 0x00000000
Rx PHY အပြည့်အဝ ချိန်ညှိထားပါသလား။ 0x00000001
မဲစာရင်းကို RX PHY Channel မှာ ၀င်ပါ။
RX PHY Channel 0 သည် စတင်လည်ပတ်နေပြီဖြစ်သည်။
System Console Printout (10G မှ 25G DR E-tile)
Ethernet 10G -> 25G အတွက် Dynamic Reconfiguration ကို စတင်ပါ။
DR အောင်မြင်သော 10G -> 25G
RX PHY ဝင်ရောက်ခွင့် မှတ်ပုံတင်ခြင်း- နာရီကြိမ်နှုန်းများ (KHz) စစ်ဆေးနေသည်
TXCLK : 40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY အခြေအနေမဲစာရင်း
Rx ကြိမ်နှုန်းလော့ခ်အခြေအနေ 0x0000000f
Mac Clock သည် OK Condition ရှိပါသလား။ 0x00000001
Rx Frame Error ? 0x00000000
Rx PHY အပြည့်အဝ ချိန်ညှိထားပါသလား။ 0x00000001
မဲစာရင်းကို RX PHY Channel မှာ ၀င်ပါ။
RX PHY Channel 0 သည် စတင်လည်ပတ်နေပြီဖြစ်သည်။
System Console Printout (10G မှ 25G DR H-tile)
Ethernet 10G -> 25G အတွက် Dynamic Reconfiguration ကို စတင်ပါ။
DR အောင်မြင်သော 10G -> 25G
RX PHY ဝင်ရောက်ခွင့် မှတ်ပုံတင်ခြင်း- နာရီကြိမ်နှုန်းများ (KHz) စစ်ဆေးနေသည်
TXCLK : 39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY အခြေအနေမဲစာရင်း
Rx ကြိမ်နှုန်းလော့ခ်အခြေအနေ 0x00000001
Mac Clock သည် OK Condition ရှိပါသလား။ 0x00000007
Rx Frame Error ? 0x00000000
Rx PHY အပြည့်အဝ ချိန်ညှိထားပါသလား။ 0x00000001
မဲစာရင်းကို RX PHY Channel မှာ ၀င်ပါ။
RX PHY Channel 0 သည် စတင်လည်ပတ်နေပြီဖြစ်သည်။
ဒီဇိုင်းထွample ဖော်ပြချက်
ဒီဇိုင်းဟောင်းample သည် eCPRI IP core ၏ အခြေခံလုပ်ဆောင်နိုင်စွမ်းကို သရုပ်ပြသည်။ Ex မှ ဒီဇိုင်းကို သင်ဖန်တီးနိုင်သည်။ample eCPRI IP ကန့်သတ်ချက်တည်းဖြတ်သူရှိ ဒီဇိုင်းတက်ဘ်။
2.1. အင်္ဂါရပ်များ
- အတွင်းပိုင်း TX နှင့် RX အမှတ်စဉ်လှည့်ပတ်မုဒ်
- ပုံသေအရွယ်အစား အစုံလိုက်များကို အလိုအလျောက်ထုတ်ပေးသည်။
- အခြေခံ packet စစ်ဆေးခြင်းစွမ်းရည်
- ဒီဇိုင်းစမ်းသပ်ရန်နှင့် ပြန်လည်စမ်းသပ်ခြင်းရည်ရွယ်ချက်အတွက် ဒီဇိုင်းကို ပြန်လည်သတ်မှတ်ရန် System Console ကို အသုံးပြုနိုင်သည်။
၁.၃။ Hardware Design Example
ပုံ 5. Intel Agilex 7 F-tile Designs အတွက် Block Diagram
Intel ကော်ပိုရေးရှင်း။ မူပိုင်ခွင့်များရယူပြီး။ Intel၊ Intel လိုဂိုနှင့် အခြားသော Intel အမှတ်အသားများသည် Intel ကော်ပိုရေးရှင်း သို့မဟုတ် ၎င်း၏လုပ်ငန်းခွဲများ၏ အမှတ်တံဆိပ်များဖြစ်သည်။ Intel သည် Intel ၏ စံအာမခံချက်နှင့်အညီ ၎င်း၏ FPGA နှင့် တစ်ပိုင်းလျှပ်ကူးပစ္စည်းထုတ်ကုန်များ၏ စွမ်းဆောင်ရည်ကို လက်ရှိ သတ်မှတ်ချက်များအတိုင်း အာမခံထားသော်လည်း မည်သည့်ထုတ်ကုန်နှင့် ဝန်ဆောင်မှုများကိုမဆို အသိပေးခြင်းမရှိဘဲ အချိန်မရွေး အပြောင်းအလဲပြုလုပ်ပိုင်ခွင့်ကို လက်ဝယ်ရှိပါသည်။ Intel မှ စာဖြင့် အတိအလင်း သဘောတူထားသည့်အတိုင်း ဤနေရာတွင် ဖော်ပြထားသော အချက်အလက်၊ ထုတ်ကုန် သို့မဟုတ် ဝန်ဆောင်မှုကို အသုံးပြုခြင်း သို့မဟုတ် အသုံးပြုခြင်းမှ ဖြစ်ပေါ်လာသော တာဝန် သို့မဟုတ် တာဝန်ခံမှု မရှိဟု ယူဆပါသည်။ Intel သုံးစွဲသူများသည် ထုတ်ဝေထားသော အချက်အလက်များနှင့် ထုတ်ကုန် သို့မဟုတ် ဝန်ဆောင်မှုများအတွက် အမှာစာမတင်မီ နောက်ဆုံးဗားရှင်းကို ရယူရန် အကြံပြုအပ်ပါသည်။ *အခြားအမည်များနှင့် အမှတ်တံဆိပ်များကို အခြားသူများ၏ပိုင်ဆိုင်မှုအဖြစ် တောင်းဆိုနိုင်ပါသည်။
ပုံ 6. Intel Agilex 7 E-tile Designs အတွက် Block Diagramပုံ 7. Intel Stratix 10 ဒီဇိုင်းများအတွက် Block Diagram
ပုံ 8. Intel Arria 10 ဒီဇိုင်းများအတွက် ပိတ်ဆို့သည့် မြေပုံeCPRI Intel FPGA IP core hardware ဒီဇိုင်း example တွင် အောက်ပါ အစိတ်အပိုင်းများ ပါဝင်သည်။
eCPRI Intel FPGA IP
စမ်းသပ်ထုပ်ပိုးမှုအတွင်း ချက်ခြင်းလုပ်ဆောင်သော အသွားအလာ ဂျင်နရေတာများမှ ဒေတာကို လက်ခံပြီး Ethernet IP သို့ ပေးပို့ခြင်းအတွက် ဒေတာကို ဦးစားပေးသည်။
အီသာနက် IP
- F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile ဒီဇိုင်းများ)
- Ethernet အတွက် E-tile Hard IP (Intel Stratix 10 သို့မဟုတ် Intel Agilex 7 E-tile ဒီဇိုင်းများ)
- 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile ဒီဇိုင်းများ)
- Low Latency Ethernet 10G MAC IP နှင့် 1G/10GbE နှင့် 10GBASE-KR PHY IP (Intel Arria 10 ဒီဇိုင်းများ)
တိကျသောအချိန်ပရိုတိုကော (PTP) IO PLL
Intel Stratix 10 H-tile ဒီဇိုင်းများအတွက်—Ethernet IP နှင့် s အတွက် latency တိုင်းတာမှုထည့်သွင်းမှုရည်ညွှန်းနာရီကို ထုတ်လုပ်ရန် ချက်ခြင်းလုပ်ဆောင်သည်။ampTime of Day (TOD) စနစ်ခွဲအတွက် နာရီ။ IEEE 25v10 လုပ်ဆောင်ချက်ပါရှိသော 1588G Ethernet Intel Stratix 2 FPGA IP အတွက်၊ Intel မှ သင့်အား ဤနာရီ၏ ကြိမ်နှုန်းကို 156.25 MHz သို့ သတ်မှတ်ရန် အကြံပြုထားသည်။ နောက်ထပ်အချက်အလက်များအတွက် 25G Ethernet Intel Stratix 10 FPGA IP အသုံးပြုသူလမ်းညွှန်နှင့် Intel Stratix 10 H-tile Transceiver PHY အသုံးပြုသူလမ်းညွှန်ကို ကိုးကားပါ။ PTP IOPLL သည် အသေးစိပ်ပုံစံဖြင့် eCPRI IO PLL အတွက် ရည်ညွှန်းနာရီကိုလည်း ထုတ်ပေးသည်။
Intel Arria 10 ဒီဇိုင်းများအတွက်—Low Latency Ethernet 312.5G MAC IP နှင့် 156.25G/10GbE၊ 1GBASE-KR PHY IP နှင့် eCPRI IP အတွက် 10 MHz နှင့် 10 MHz နာရီ input များကို ထုတ်လုပ်ရန် ချက်ခြင်းလုပ်ဆောင်ပါသည်။
eCPRI IO PLL
eCPRI IP ၏ TX နှင့် RX လမ်းကြောင်းအတွက် core clock output ကို 390.625 MHz နှင့် traffic components များကိုထုတ်ပေးသည်။
မှတ်ချက် - ဤဘလောက်သည် ဒီဇိုင်းဟောင်းတွင်သာ ရှိနေသည်။ampIntel Stratix 10 နှင့် Intel Agilex 7 စက်များအတွက် ထုတ်လုပ်ခဲ့သည်။
မှတ်ချက် - eCPRI Intel FPGA IP ၏ လက်ရှိဗားရှင်းသည် IWF အမျိုးအစား 0 ကိုသာ ထောက်ပံ့ပေးသည်။ Intel Agilex 7 F-tile စက်များအတွက် ဒီဇိုင်းဟောင်း၊ample enabled IWF အင်္ဂါရပ်ကို ပံ့ပိုးမထားပါ။
ဒီဇိုင်းထုတ်တဲ့အခါမှာ example Interworking Function (IWF) Support parameter ကို ပိတ်လိုက်သည်၊ packet traffic သည် test wrapper module မှ Avalon-ST source/sink interface နှင့် eCPRI IP ၏ ပြင်ပအရင်းအမြစ်/ sink interface သို့ တိုက်ရိုက်စီးဆင်းပါသည်။
ဒီဇိုင်းထုတ်တဲ့အခါမှာ example Interworking Function (IWF) Support parameter ကိုဖွင့်ထားပြီး၊ packet traffic သည် test wrapper module မှ ပထမဆုံး IWF Avalon-ST sink interface သို့ စီးဆင်းသွားပြီး IWF Avalon-ST source interface မှ ထွက်လာသော eCPRI Avalon-ST source/sink သို့ ကြားခံ။
CPRI MAC
အသုံးပြုသူလေယာဉ်၊ C&M နှင့် REC နှင့် RE အကြား ထပ်တူပြုမှု အချက်အလက်များ လွှဲပြောင်းခြင်းအတွက် အလွှာ 1 နှင့် အပြည့်အစုံ အလွှာ 2 ပရိုတိုကောများ၏ CPRI အစိတ်အပိုင်းကို ပံ့ပိုးပေးသည့်အပြင် RE နှစ်ခုကြား၊
CPRI PHY
CPRI အလွှာ 1 ပရိုတိုကော၏ ကျန်အစိတ်အပိုင်းကို လိုင်းကုဒ်လုပ်ခြင်း၊ ဘစ်အမှားပြင်ဆင်ခြင်း/ထောက်လှမ်းခြင်း စသည်တို့အတွက် ပံ့ပိုးပေးသည်။
မှတ်ချက် - CPRI MAC နှင့် CPRI PHY IP သည် ဤဒီဇိုင်းဟောင်းတွင် ချက်ချင်းထသည်။ample ကို CPRI လိုင်းနှုန်း 9.8 Gbps တစ်ခုတည်းဖြင့်သာ လုပ်ဆောင်ရန် စီစဉ်ထားသည်။ ဒီဇိုင်းဟောင်းample သည် လက်ရှိထုတ်ဝေမှုတွင် လိုင်းနှုန်းကို အလိုအလျောက်ညှိနှိုင်းခြင်းကို မပံ့ပိုးပါ။
စမ်းသပ် Wrapper
အောက်ဖော်ပြပါအတိုင်း eCPRI IP ၏ Avalon Streaming (Avalon-ST) အင်တာဖေ့စ်များသို့ မတူညီသော ဒေတာအစုံလိုက်များကို ထုတ်ပေးသည့် အသွားအလာ ဂျင်နရေတာများနှင့် စစ်ဆေးသည့်ကိရိယာများ ပါဝင်သည်။
- Avalon-ST ရင်းမြစ်/နစ်ခ်အင်တာဖေ့စ်များသို့ eCPRI ပက်ကတ်များ (IWF အင်္ဂါရပ်ကို ပိတ်ထားသည်)။
- မက်ဆေ့ခ်ျအမျိုးအစား 2 ကိုသာထောက်ခံသည်။
— အတိုးနှုန်းပုံစံမုဒ်မျိုးဆက်နှင့် ပက်ကေ့ခ်ျတစ်ခုစီအတွက် 72 bytes ရှိသော payload size ပါရှိသော back-to-back mode မျိုးဆက်။
- အဆက်မပြတ် သို့မဟုတ် အဆက်မပြတ်မုဒ်တွင် လုပ်ဆောင်ရန် CSR မှတစ်ဆင့် ပြင်ဆင်နိုင်သည်။
- TX/RX packet ကိန်းဂဏန်းအခြေအနေကို CSR မှတဆင့်ဝင်ရောက်ကြည့်ရှုနိုင်သည်။ - Avalon-ST ရင်းမြစ်/နစ်ခ်အင်တာဖေ့စ်များသို့ eCPRI ပက်ကတ်များ (IWF လုပ်ဆောင်ချက်ကို ဖွင့်ထားသည်)
— လက်ရှိထုတ်ဝေမှုတွင် မက်ဆေ့ခ်ျအမျိုးအစား 0 ကိုသာ ပံ့ပိုးပေးသည်။
- ပက်ကတ်တစ်ခုစီအတွက် interpacket ကွာဟချက်နှင့် payload အရွယ်အစား 240 bytes ပါရှိသော တိုးမြှင့်ပုံစံမုဒ်ကို ဖန်တီးခြင်း။
- အဆက်မပြတ် သို့မဟုတ် အဆက်မပြတ်မုဒ်တွင် လုပ်ဆောင်ရန် CSR မှတစ်ဆင့် ပြင်ဆင်နိုင်သည်။
- TX/RX packet ကိန်းဂဏန်းအခြေအနေကို CSR မှတဆင့်ဝင်ရောက်ကြည့်ရှုနိုင်သည်။ - ပြင်ပရင်းမြစ်/နစ်မြုပ်မှု အင်တာဖေ့စ်များသို့ တိကျသောအချိန်ပရိုတိုကော (1588 PTP) ပက်ကတ်နှင့် PTP မဟုတ်သော အထွေထွေပက်ကတ်များ
— ကြိုတင်သတ်မှတ်ထားသောဘောင်များပါရှိသော တည်ငြိမ်သော အီသာနက်ခေါင်းစီးမျိုးဆက်- Ethertype0x88F7၊ Message အမျိုးအစား- Opcode 0 (Sync) နှင့် PTP ဗားရှင်း-0။
— ပက်ကေ့ခ်ျတစ်ခုစီအတွက် ပက်ကေ့ခ်ျကွာဟမှု 2 သံသရာနှင့် ပက်ကေ့ခ်ျတစ်ခုစီအတွက် 57 bytes ရှိသော ပေးဆောင်မှုအရွယ်အစားဖြင့် ကြိုတင်သတ်မှတ်ထားသော ပုံစံမုဒ်မျိုးဆက်။
- 128 packets ကို တစ်စက္ကန့်တိုင်း အချိန်အတွင်း ထုတ်ပေးပါသည်။
- အဆက်မပြတ် သို့မဟုတ် အဆက်မပြတ်မုဒ်တွင် လုပ်ဆောင်ရန် CSR မှတစ်ဆင့် ပြင်ဆင်နိုင်သည်။
- TX/RX packet ကိန်းဂဏန်းအခြေအနေကို CSR မှတဆင့်ဝင်ရောက်ကြည့်ရှုနိုင်သည်။ - ပြင်ပ PTP မဟုတ်သော အထွေထွေပက်ကတ်များ-
— ကြိုတင်သတ်မှတ်ထားသော ကန့်သတ်ဘောင်၊ Ethertype- 0x8100 (PTP မဟုတ်သော) ဖြင့် တည်ငြိမ်သော Ethernet ခေါင်းစီးမျိုးဆက်။
— ပက်ကတ်တစ်ခုစီအတွက် ပက်ကေ့ချ်ကွာဟမှု 2 သံသရာနှင့် ပက်ကေ့ချတ်အရွယ်အစား 128 bytes ရှိသော PRBS ပုံစံမုဒ် မျိုးဆက်။
- အဆက်မပြတ် သို့မဟုတ် အဆက်မပြတ်မုဒ်တွင် လုပ်ဆောင်ရန် CSR မှတစ်ဆင့် ပြင်ဆင်နိုင်သည်။
- TX/RX packet ကိန်းဂဏန်းအခြေအနေကို CSR မှတဆင့်ဝင်ရောက်ကြည့်ရှုနိုင်သည်။
Time of Day (TOD) စနစ်ခွဲ
TX နှင့် RX နှစ်ခုစလုံးအတွက် IEEE 1588 TOD မော်ဂျူးနှစ်ခုနှင့် Intel Quartus Prime ဆော့ဖ်ဝဲလ်မှ ထုတ်လုပ်သော IEEE 1588 TOD Synchronizer module တစ်ခုပါရှိသည်။
Nios® II စနစ်ခွဲ
Nios II ပရိုဆက်ဆာ၊ စမ်းသပ်ထုပ်ပိုးခြင်းနှင့် Avalon® -MM လိပ်စာဒီကုဒ်လုပ်ကွက်များကြားတွင် Avalon-MM ဒေတာခုံသမာဓိဖြင့် စီရင်ဆုံးဖြတ်ခွင့်ပြုသည့် Avalon-MM တံတား ပါဝင်သည်။
Nios II သည် test wrapper ၏ rate_switch register value မှထွက်ရှိမှုကိုအခြေခံ၍ data rate switching ကိုလုပ်ဆောင်ရန်တာဝန်ရှိသည်။ ၎င်းသည် စမ်းသပ်မှုထုပ်ပိုးမှုမှ command ကိုလက်ခံရရှိသည်နှင့် လိုအပ်သော မှတ်ပုံတင်မည့် ပရိုဂရမ်များကို ပိတ်ဆို့ထားသည်။
မှတ်ချက်: ဤဘလောက်သည် ဒီဇိုင်းဟောင်းတွင် မပါဝင်ပါ။ample Intel Arria 10 နှင့် Intel Agilex 7 F-tile စက်ပစ္စည်းများအတွက် ထုတ်လုပ်ခဲ့သည်။
စနစ်ကွန်ဆိုး
IP ၏ ပထမအဆင့် အမှားရှာပြင်ခြင်းနှင့် စစ်ဆေးခြင်း အခြေအနေကို စောင့်ကြည့်ရန်၊ နှင့် အသွားအလာ ဂျင်နရေတာများနှင့် စစ်ဆေးခြင်းများ ပြုလုပ်ရန် သင့်အတွက် အသုံးပြုရအဆင်ပြေသည့် အင်တာဖေ့စ်ကို ပံ့ပိုးပေးပါသည်။
သရုပ်ပြထိန်းချုပ်မှု
ဤ module တွင် ပြန်လည်သတ်မှတ်ရန် synchronizer module များ နှင့် ဒီဇိုင်းစနစ် အမှားရှာပြင်ခြင်းနှင့် အစပြုခြင်း လုပ်ငန်းစဉ်အတွက် In-system Source and Probe (ISSP) module များ ပါဝင်သည်။
ဆက်စပ်အချက်အလက်
- 25G Ethernet Intel Stratix 10 FPGA IP အသုံးပြုသူလမ်းညွှန်
- E-tile Hard IP အသုံးပြုသူလမ်းညွှန်
- eCPRI Intel FPGA IP အသုံးပြုသူလမ်းညွှန်
- 25G Ethernet Intel Stratix 10 FPGA IP ဒီဇိုင်း Example အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 ဒီဇိုင်း Ex အတွက် E-tile Hard IPamples အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 L- နှင့် H-Tile Transceiver PHY အသုံးပြုသူလမ်းညွှန်
- E-Tile Transceiver PHY အသုံးပြုသူလမ်းညွှန်
- Intel Stratix 10 10GBASE-KR PHY IP အသုံးပြုသူလမ်းညွှန်
- E-tile Hard IP Intel Agilex Design Example အသုံးပြုသူလမ်းညွှန်
၂.၄.၂။ Simulation Design Example
eCPRI ဒီဇိုင်း example simulation testbench နှင့် simulation ကိုထုတ်ပေးသည်။ fileSimulation သို့မဟုတ် Synthesis & Simulation ရွေးချယ်မှုကို သင်ရွေးချယ်သောအခါတွင် eCPRI Intel FPGA IP core ကို လျင်မြန်စေသည်။
ပုံ 9. eCPRI Intel FPGA IP Simulation Block Diagram
မှတ်ချက် - Nios II Subsystem block သည် ဒီဇိုင်းဟောင်းတွင် မပါဝင်ပါ။ample Intel Arria 10 နှင့် Intel Agilex 7 F-tile စက်ပစ္စည်းများအတွက် ထုတ်လုပ်ခဲ့သည်။
ဒီဒီဇိုင်း exampဥပမာအားဖြင့်၊ simulation testbench သည် စတင်ခြင်းနှင့် လော့ခ်ချခြင်း၊ ပို့ခြင်းနှင့် လက်ခံခြင်းတို့ကို စောင့်ဆိုင်းခြင်းကဲ့သို့သော အခြေခံလုပ်ဆောင်နိုင်စွမ်းကို ပံ့ပိုးပေးပါသည်။
အောင်မြင်သော စမ်းသပ်လည်ပတ်မှုတွင် အောက်ပါအပြုအမူကို အတည်ပြုသည့် ရလဒ်ကို ပြသသည်-
- client logic သည် IP core ကို ပြန်လည်သတ်မှတ်သည်။
- client logic သည် RX datapath alignment ကို စောင့်နေသည်။
- client logic သည် Avalon-ST အင်တာဖေ့စ်တွင် packet များကို ပို့လွှတ်သည်။
- လက်ခံပြီး အထုပ်များ၏ အကြောင်းအရာနှင့် မှန်ကန်မှု ရှိမရှိ စစ်ဆေးပါ။
- “Test PassED” မက်ဆေ့ချ်ကို ပြသပါ။
၂.၃။ အင်တာဖေ့စ်အချက်ပြမှုများ
Table 5. Design Example Interface အချက်ပြမှုများ
အချက်ပြ | ဦးတည်ချက် | ဖော်ပြချက် |
clk_ref | ထည့်သွင်းခြင်း။ | Ethernet MAC အတွက် ရည်ညွှန်းနာရီ။ • Intel Stratix 10 E-tile အတွက်၊ Intel Agilex 7 E-tile နှင့် F-tile ဒီဇိုင်းများ၊ E-tile Ethernet Hard IP core သို့မဟုတ် F-tile Ethernet Hard IP core အတွက် 156.25 MHz နာရီ ထည့်သွင်းခြင်း။ Ethernet Hard IP ရှိ i_clk_ref[0] သို့ ချိတ်ဆက်ပါ။ • Intel Stratix 10 H-tile ဒီဇိုင်းများအတွက်၊ Transceiver ATX PLL နှင့် 322.2625G Ethernet IP အတွက် 25 MHz နာရီ ထည့်သွင်းမှု။ Transceiver ATX PLL တွင် plll_refclk0[0] နှင့် 0G Ethernet IP ရှိ clk_ref[25] သို့ ချိတ်ဆက်ပါ။ • Intel Arria 10 ဒီဇိုင်းများအတွက်၊ Transceiver ATX PLL နှင့် 322.265625G/ 1GbE နှင့် 10GBase-KR PHY IP အတွက် 10 MHz နာရီ ထည့်သွင်းမှု။ Transceiver ATX PLL နှင့် 0G/ 0GbE နှင့် 10G BASE-KR PHY IP ရှိ rx_cdr_ref_clk_0g[1] တွင် plll_refclk10[10] နှင့် ချိတ်ဆက်ပါ။ |
tod_sync_sampling_clk | ထည့်သွင်းခြင်း။ | Intel Arria 10 ဒီဇိုင်းများအတွက်၊ TOD စနစ်ခွဲအတွက် 250 MHz နာရီ ထည့်သွင်းမှု။ |
clk100 | ထည့်သွင်းခြင်း။ | စီမံခန့်ခွဲမှုနာရီ။ PTP အတွက် latency_clk ကို ထုတ်လုပ်ရန် ဤနာရီကို အသုံးပြုသည်။ 100 MHz ဖြင့် မောင်းနှင်ပါ။ |
mgmt_reset_n | ထည့်သွင်းခြင်း။ | Nios II စနစ်အတွက် အချက်ပြမှုကို ပြန်လည်သတ်မှတ်ပါ။ |
tx_serial | အထွက် | TX အမှတ်စဉ်ဒေတာ။ ချန်နယ် 4 ခုအထိ ပံ့ပိုးပေးသည်။ |
rx_serial | ထည့်သွင်းခြင်း။ | RX အမှတ်စဉ်ဒေတာ။ ချန်နယ် 4 ခုအထိ ပံ့ပိုးပေးသည်။ |
iwf_cpri_ehip_ref_clk | ထည့်သွင်းခြင်း။ | E-tile CPRI PHY ရည်ညွှန်းနာရီ ထည့်သွင်းမှု။ ဤနာရီသည် Intel Stratix 10 E-tile နှင့် Intel တွင်သာရှိသည်။ Agilex 7 E-tile ဒီဇိုင်းများ။ 153.6 Gbps CPRI လိုင်းနှုန်းအတွက် 9.8 MHz တွင် မောင်းနှင်ပါ။ |
iwf_cpri_pll_refclk0 | အထွက် | CPRI TX PLL ရည်ညွှန်းနာရီ။ • Intel Stratix 10 H-tile ဒီဇိုင်းများအတွက်- CPRI ဒေတာနှုန်း 307.2 Gbps အတွက် 9.8 MHz တွင် မောင်းနှင်ပါ။ • Intel Stratix 10 E-tile နှင့် Intel Agilex 7 E- tile ဒီဇိုင်းများအတွက်- CPRI ဒေတာနှုန်း 156.25 Gbps အတွက် 9.8 MHz တွင် မောင်းနှင်ပါ။ |
iwf_cpri_xcvr_cdr_refclk | အထွက် | CPRI လက်ခံသူ CDR ရည်ညွှန်းနာရီ။ ဤနာရီသည် Intel Stratix 10 H-tile ဒီဇိုင်းများတွင်သာရှိသည်။ 307.2 Gbps CPRI လိုင်းနှုန်းအတွက် 9.8 MHz တွင် မောင်းနှင်ပါ။ |
iwf_cpri_xcvr_txdataout | အထွက် | CPRI သည် အမှတ်စဉ်ဒေတာကို ပို့လွှတ်သည်။ ချန်နယ် 4 ခုအထိ ပံ့ပိုးပေးသည်။ |
iwf_cpri_xcvr_rxdatain | အထွက် | CPRI လက်ခံသူ အမှတ်စဉ်ဒေတာ။ ချန်နယ် 4 ခုအထိ ပံ့ပိုးပေးသည်။ |
cpri_gmii_clk | ထည့်သွင်းခြင်း။ | CPRI GMII 125 MHz အဝင်နာရီ။ |
ဆက်စပ်အချက်အလက်
PHY Interface အချက်ပြမှုများ
25G Ethernet Intel FPGA IP ၏ PHY အင်တာဖေ့စ်အချက်ပြမှုများကို စာရင်းပြုစုသည်။
2.5. ဒီဇိုင်းထွample မြေပုံကို မှတ်ပုံတင်ပါ။
အောက်တွင် eCPRI IP core ဒီဇိုင်း ex အတွက် မှတ်ပုံတင်မြေပုံပြထားပါသည်။ample-
ဇယား 6. eCPRI Intel FPGA IP ဒီဇိုင်းထွample Register Mapping
လိပ်စာ | မှတ်ပုံတင်ပါ။ |
0x20100000 – 0x201FFFFF(2) | IOPLL Re-configuration Register |
0x20200000 – 0x203FFFF | Ethernet MAC Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x20400000 – 0x205FFFF | Ethernet MAC ဇာတိ PHY Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x20600000 – 0x207FFFFF(2) | မူရင်း PHY RS-FEC Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x40000000 – 0x5FFFFFF | eCPRI IP Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x80000000 – 0x9FFFFFF | Ethernet ဒီဇိုင်းစမ်းသပ်မှု Generator/Verifier Avalon-MM မှတ်ပုံတင်ပါ။ |
Table 7. Nios II Register Mapping
အောက်ပါဇယားရှိ စာရင်းသွင်းမှုများကို ဒီဇိုင်းဟောင်းတွင်သာ ရရှိနိုင်ပါသည်။ampIntel Stratix 10 သို့မဟုတ် Intel Agilex 7 E-tile စက်ပစ္စည်းများအတွက် ထုတ်လုပ်ခဲ့သည်။
လိပ်စာ | မှတ်ပုံတင်ပါ။ |
0x00100000 – 0x001FFFF | IOPLL Re-configuration Register |
0x00200000 – 0x003FFFF | Ethernet MAC Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x00400000 – 0x005FFFF | Ethernet MAC ဇာတိ PHY Avalon-MM မှတ်ပုံတင်ခြင်း။ |
0x00600000 – 0x007FFFF | မူရင်း PHY RS-FEC Avalon-MM မှတ်ပုံတင်ခြင်း။ |
မှတ်ချက် - Ethernet MAC နှင့် Ethernet MAC Native PHY AVMM မှတ်ပုံတင်မှုများကို byte offset အစား word offset ကို အသုံးပြု၍ သင်ဝင်ရောက်နိုင်သည်။
Ethernet MAC၊ Ethernet MAC Native PHY နှင့် eCPRI IP core မှတ်ပုံတင်မြေပုံများဆိုင်ရာ အသေးစိတ်အချက်အလက်များအတွက် သက်ဆိုင်ရာအသုံးပြုသူလမ်းညွှန်များကို ကိုးကားပါ။
(၂) ဒီဇိုင်းဟောင်းတွင်သာ ရနိုင်သည်။ampIntel Stratix 10 နှင့် Intel Agilex 7 E-tile စက်ပစ္စည်းများအတွက် ထုတ်လုပ်ခဲ့သည်။
ဇယား 8. eCPRI Intel FPGA IP ဟာ့ဒ်ဝဲဒီဇိုင်းထွample မြေပုံကို မှတ်ပုံတင်ပါ။
Word Offset | မှတ်ပုံတင်အမျိုးအစား | မူရင်းတန်ဖိုး | အသုံးပြုခွင့် အမျိုးအစား |
က0x0 | ဒေတာပေးပို့ခြင်းကို စတင်ပါ- • Bit 1- PTP၊ မဟုတ်သော PTP အမျိုးအစား • ဘစ် 0- eCPRI အမျိုးအစား |
က0x0 | RW |
က0x1 | ဆက်တိုက် Packet ကို ဖွင့်ပါ။ | က0x0 | RW |
က0x2 | အမှားကိုရှင်းပါ | က0x0 | RW |
0x3 (3) | အဆင့်သတ်မှတ်ခြင်း- • Bit [7]- အကွက်ကို ညွှန်ပြသည်- — 1'b0: H-အကွက် — 1'b1- E-အကွက် • Bit [6:4]- Ethernet ဒေတာနှုန်းပြောင်းခြင်းကို ညွှန်ပြသည်- — 3'b000: 25G မှ 10G — 3'b001: 10G မှ 25G • Bit [0]- ခလုတ်နှုန်းကို ဖွင့်ပါ။ နှုန်းပြောင်းခြင်းအတွက် bit 0 ကို ရှင်းလင်းသည်အထိ ဤဘစ် 0 ကို သတ်မှတ်ရန် လိုအပ်ပါသည်။ မှတ်ချက်- ဤမှတ်ပုံတင်မှုသည် Intel Agilex 7 F-tile နှင့် Intel Arria 10 ဒီဇိုင်းများအတွက် မရရှိနိုင်ပါ။ |
• E-ကြွေပြား- 0x80 • H-အကွက်- 0x0 |
RW |
0x4 (3) | အဆင့်သတ်မှတ်ခြင်း ပြောင်းလဲခြင်း ပြီးပါပြီ- • ဘစ် [1] သည် နှုန်းထားပြောင်းခြင်းပြီးကြောင်း ညွှန်ပြသည်။ |
က0x0 | RO |
0x5 (4)၊ | စနစ်ဖွဲ့စည်းမှုအခြေအနေ- • Bit [31]- စနစ်အဆင်သင့်ဖြစ်ပါပြီ။ • ဘစ် [30]- IWF_EN • ဘစ် [29]- STARTUP_SEQ_EN • Bit [28:4]- သီးသန့် • ဘစ် [3]- EXT_PACKET_EN • Bit [2:0]- သီးသန့် |
က0x0 | RO |
0x6 (4)၊ | CPRI ညှိနှိုင်းမှု ပြီးမြောက်သည်- • ဘစ် [3:0]- ဘစ်နှုန်း ပြီးပါပြီ။ • Bit [19:16]- ပရိုတိုကော ပြီးပါပြီ။ |
က0x0 | RW |
0x7 (4)၊ | CPRI ညှိနှိုင်းမှု ပြီးမြောက်သည်- • Bit [3:0]- အမြန် C&M ပြီးပါပြီ။ • Bit [19:16]- မြန်ဆန်သော VSS ပြီးမြောက်သည်။ |
က0x0 | RW |
0x8 – 0x1F | လက်ဝယ်ရှိတယ်။ | ||
က0x20 | eCPRI အမှား နှောင့်ယှက်မှု- • Bit [0] သည် interrupt ကိုညွှန်ပြသည်။ |
က0x0 | RO |
က0x21 | ပြင်ပ Packets အမှား | က0x0 | RO |
က0x22 | ပြင်ပ PTP Packets TX ၏ စတင်မှု (SOP) အရေအတွက် | က0x0 | RO |
က0x23 | ပြင်ပ PTP ပက်ကေ့ခ်ျ TX End of Packet (EOP) အရေအတွက် | က0x0 | RO |
က0x24 | ပြင်ပ အမျိုးမျိုးသော Packets TX SOP အရေအတွက် | က0x0 | RO |
က0x25 | ပြင်ပ အမျိုးမျိုးသော Packets TX EOP အရေအတွက် | က0x0 | RO |
က0x26 | ပြင်ပ RX Packets SOP အရေအတွက် | က0x0 | RO |
က0x27 | ပြင်ပ RX Packets EOP အရေအတွက် | က0x0 | RO |
က0x28 | ပြင်ပ Packets အမှားအရေအတွက် | က0x0 | RO |
0x29 – 0x2C | လက်ဝယ်ရှိတယ်။ | ||
0x2D | ပြင်ပ PTP Timestamp လက်ဗွေအမှားအရေအတွက် | က0x0 | RO |
0x2E | ပြင်ပ PTP Timestamp လက်ဗွေရာ အမှား | က0x0 | RO |
0x2F | ပြင်ပ Rx အမှားအခြေအနေ | က0x0 | RO |
0x30 – 0x47 | လက်ဝယ်ရှိတယ်။ | ||
က0x48 | eCPRI Packets အမှား | RO | |
က0x49 | eCPRI TX SOP အရေအတွက် | RO | |
0x4A | eCPRI TX EOP အရေအတွက် | RO | |
0x4B | eCPRI RX SOP အရေအတွက် | RO | |
၃၂x၄၁C | eCPRI RX EOP အရေအတွက် | RO | |
0x4D | eCPRI Packets အမှားအရေအတွက် | RO |
ဆက်စပ်အချက်အလက်
- ထိန်းချုပ်မှု၊ အဆင့်အတန်းနှင့် စာရင်းအင်းဖော်ပြချက်များကို မှတ်ပုံတင်ပါ။
25G Ethernet Stratix 10 FPGA IP အတွက် အချက်အလက်ကို မှတ်ပုံတင်ပါ။ - ပြန်လည်ပြင်ဆင်ခြင်းနှင့် အဆင့်အတန်း မှတ်ပုံတင်ခြင်း။
ဖော်ပြချက် Ethernet အတွက် E-tile Hard IP အတွက် အချက်အလက် မှတ်ပုံတင်ပါ။ - မှတ်ပုံတင်များ
eCPRI Intel FPGA IP အတွက် အချက်အလက်ကို မှတ်ပုံတင်ပါ။
eCPRI Intel FPGA IP ဒီဇိုင်းထွample အသုံးပြုသူလမ်းညွှန် မော်ကွန်း
ဤအသုံးပြုသူလမ်းညွှန်၏ နောက်ဆုံးနှင့် ယခင်ဗားရှင်းများအတွက် eCPRI Intel FPGA IP Design Ex ကို ကိုးကားပါ။ampအသုံးပြုသူလမ်းညွှန် HTML ဗားရှင်း။ ဗားရှင်းကို ရွေးပြီး ဒေါင်းလုဒ်ကို နှိပ်ပါ။ IP သို့မဟုတ် ဆော့ဖ်ဝဲဗားရှင်းကို မဖော်ပြထားပါက၊ ယခင် IP သို့မဟုတ် ဆော့ဖ်ဝဲဗားရှင်းအတွက် အသုံးပြုသူလမ်းညွှန်ကို အကျုံးဝင်ပါသည်။
eCPRI Intel FPGA IP Design Ex အတွက် စာရွက်စာတမ်း ပြန်လည်ပြင်ဆင်မှုမှတ်တမ်းample အသုံးပြုသူလမ်းညွှန်
စာရွက်စာတမ်းဗားရှင်း | Intel Quartus Prime Version ပါ။ |
IP ဗားရှင်း | အပြောင်းအလဲများ |
2023.05.19 | 23.1 | 2.0.3 | • ဒီဇိုင်းထွကို ပုံဖော်ခြင်းအား အပ်ဒိတ်လုပ်ထားသည်။ampအမြန်စတင်လမ်းညွှန်အခန်းရှိ Testbench ကဏ္ဍ။ • ထုတ်ကုန်မိသားစုအမည်ကို “Intel Agilex 7” သို့ အပ်ဒိတ်လုပ်ခဲ့သည်။ |
2022.11.15 | 22.3 | 2.0.1 | အပိုင်းရှိ VCS Simulator အတွက် အပ်ဒိတ်လုပ်ထားသော ညွှန်ကြားချက်များ- ဒီဇိုင်း Ex ကို အတုယူခြင်း။ample Testbench |
2022.07.01 | 22.1 | 1.4.1 | • ဟာ့ဒ်ဝဲဒီဇိုင်းဟောင်းကို ပေါင်းထည့်ခဲ့သည်။ampIntel Agilex 7 F-tile စက်ပစ္စည်း ဗားရှင်းများအတွက် ပံ့ပိုးမှု။ • အောက်ဖော်ပြပါ ဖွံ့ဖြိုးတိုးတက်ရေးကိရိယာများအတွက် ပံ့ပိုးမှု ထပ်လောင်းထည့်ထားသည်- — Intel Agilex 7 I-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှု Kit — Intel Agilex 7 I-Series Transceiver-SoC ဖွံ့ဖြိုးတိုးတက်မှု Kit • QuestaSim simulator အတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ • ModelSim* SE simulator အတွက် ပံ့ပိုးမှုကို ဖယ်ရှားထားသည်။ |
2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-tile ကိရိယာများအတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ • ရုပ်သံလိုင်းပေါင်းစုံ ဒီဇိုင်းများအတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ • မွမ်းမံထားသောဇယား- eCPRI Intel FPGA IP ဟာ့ဒ်ဝဲဒီဇိုင်းထွample မြေပုံကို မှတ်ပုံတင်ပါ။ • NCSim Simulator အတွက် ပံ့ပိုးမှုကို ဖယ်ရှားထားသည်။ |
2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-tile ကိရိယာများအတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ |
2021.01.08 | 20.3 | 1.2.0 | • eCPRI Intel Stratix 10 FPGA IP Design Ex မှ စာရွက်စာတမ်းခေါင်းစဉ်ကို ပြောင်းထားသည်။ample အသုံးပြုသူလမ်းညွှန်ရန် eCPRI Intel FPGA IP ဒီဇိုင်းထွample အသုံးပြုသူလမ်းညွှန်။ • Intel Arria 10 ဒီဇိုင်းများအတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ • eCPRI IP ဒီဇိုင်းဟောင်းample ကို ယခုအခါ အပြန်အလှန်လုပ်ဆောင်နိုင်သော လုပ်ဆောင်ချက် (IWF) လုပ်ဆောင်ချက် ပံ့ပိုးမှုဖြင့် ရရှိနိုင်ပါသည်။ • eCPRI ဒီဇိုင်းဟောင်းကို ရှင်းလင်းရန် မှတ်စုတစ်ခု ထည့်ခဲ့သည်။ample with IWF လုပ်ဆောင်ချက်သည် 9.8 Gbps CPRI အတွက်သာ ရနိုင်သည်။ လိုင်းဘစ်နှုန်း။ • ဒီဇိုင်းကိုထုတ်လုပ်သည့်အခါ ဒီဇိုင်းထုတ်ခြင်းကဏ္ဍတွင် ထပ်လောင်းအခြေအနေများample with Interworking Function (IWF) ပံ့ပိုးမှု ကန့်သတ်ဘောင်ကို ဖွင့်ထားသည်။ • Added sampဒီဇိုင်းကို ပုံဖော်ခြင်းကဏ္ဍတွင် IWF လုပ်ဆောင်ချက်ကို ဖွင့်ထားခြင်းဖြင့် အထွက်အား စမ်းသပ်ခြင်းအား လုပ်ဆောင်သည်။ Example Testbench • Ethernet IP သို့ Dynamic Reconfiguration ကိုဖွင့်ခြင်း ကဏ္ဍအသစ်ကို ထပ်ထည့်ထားသည်။ • မွမ်းမံထားသော ဟာ့ဒ်ဝဲစမ်းသပ်မှု sample output အပိုင်း eCPRI Intel FPGA IP Design Ex ကို စမ်းသပ်ခြင်း။ampလဲ့ |
2020.06.15 | 20.1 | 1.1.0 | • 10G ဒေတာနှုန်းအတွက် ပံ့ပိုးမှု ထပ်ထည့်ထားသည်။ • flow.c file ဒီဇိုင်းဟောင်းများဖြင့် ယခုရရှိနိုင်ပါပြီ။amploopback mode ကိုရွေးချယ်ရန်မျိုးဆက်။ • s ကိုပြုပြင်ခဲ့သည်။ample output for simulation test run section တွင် Simulating the Design Example Testbench • Compiling and Configuring the section တွင် 10G ဒေတာနှုန်းဒီဇိုင်းကို လုပ်ဆောင်ရန်အတွက် ကြိမ်နှုန်းတန်ဖိုးကို ပေါင်းထည့်သည် ဒီဇိုင်းထွampHardware မှာ ပါပါတယ်။ • eCPRI Intel FPGA IP Design Ex ကို စမ်းသပ်ခြင်း ကဏ္ဍတွင် အောက်ပါပြောင်းလဲမှုများ ပြုလုပ်ခဲ့သည်။ample- — 10G နှင့် 25G အကြား ဒေတာနှုန်းကို ပြောင်းရန် ထည့်သွင်းထားသော ညွှန်ကြားချက်များ - ထည့်ထားသည်ampဒေတာနှုန်းပြောင်းခြင်းအတွက် အထွက် — E-tile စက်ပစ္စည်းအမျိုးအစားများတွင် loopback ကိုရွေးချယ်ရန် TEST_MODE ပြောင်းလဲနိုင်သော အချက်အလက်ကို ထပ်ထည့်ထားသည်။ • ပြုပြင်ထားသော eCPRI Intel FPGA IP ဟာ့ဒ်ဝဲဒီဇိုင်းထွamples High Level Block Diagram အသစ်များ ပါဝင်ပါသည်။ တုံး။ • မွမ်းမံထားသောဇယား- ဒီဇိုင်းထွampအချက်ပြအသစ်များပါဝင်ရန် မျက်နှာပြင်အချက်ပြမှုများ။ • Updated Design Example Register Map အပိုင်း။ • နောက်ဆက်တွဲ ကဏ္ဍအသစ်ကို ထပ်ထည့်ထားသည်- အကောင်အထည်ဖော်နိုင်သော ချိတ်ဆက်ခြင်းနှင့် ချိတ်ဆက်ခြင်းပုံစံ (.elf) ပရိုဂရမ်းမင်းကို ထုတ်လုပ်ခြင်းနှင့် ဒေါင်းလုဒ်လုပ်ခြင်း File . |
2020.04.13 | 19.4 | 1.1.0 | ကနဦး ထုတ်ဝေမှု။ |
A. အကောင်ထည်ဖော်နိုင်သော ချိတ်ဆက်မှုပုံစံ (.elf) ပရိုဂရမ်းမင်းကို ထုတ်လုပ်ခြင်းနှင့် ဒေါင်းလုဒ်လုပ်ခြင်း။ File
ဤအပိုင်းတွင် .elf ကို ထုတ်လုပ်ရန်နှင့် ဒေါင်းလုဒ်လုပ်နည်းကို ဖော်ပြထားပါသည်။ file ဘုတ်အဖွဲ့သို့
- လမ်းညွှန်သို့ ပြောင်းပါ။ample_dir>/synthesis/quatus။
- Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင် Open Project ကိုနှိပ်ပြီး ဖွင့်ပါ။ample_dir>/synthesis/quartus/epri_ed.qpf။ ယခု Eclipse အတွက် Tools ➤ Nios II Software Build Tools ကို ရွေးပါ။
ပုံ 10. Nios II Software Build Tools - Workspace Launcher ဝင်းဒိုးအမှာစာပေါ်လာသည်။ Workspace တွင် လမ်းကြောင်းအဖြစ် သတ်မှတ်ပါ။ampသင်၏ Eclipse ပရောဂျက်ကို သိမ်းဆည်းရန် le_dir>/synthesis/quatus။ Nios II - Eclipse ဝင်းဒိုးအသစ် ပေါ်လာသည်။
ပုံ ၁၁။ Workspace Launcher Window - Nios II – Eclipse ဝင်းဒိုးတွင်၊ Project Explorer တက်ဘ်အောက်တွင် ညာဖက်ကလစ်နှိပ်ပြီး New ➤ Nios II Board Support Package ကို ရွေးချယ်ပါ။ ဝင်းဒိုးအသစ်ပေါ်လာသည်။
ပုံ 12. Project Explorer Tab - Nios II Board Support Package window တွင်-
• ပရောဂျက်အမည်ပါရာမီတာတွင်၊ သင်အလိုရှိသော ပရောဂျက်အမည်ကို သတ်မှတ်ပါ။
• SOPC တွင်အချက်အလက် File name parameter ၏တည်နေရာကိုရှာဖွေပါ။ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file။ Finish ကိုနှိပ်ပါ။
ပုံ 13. Nios II Board Support Package Window - အသစ်ဖန်တီးထားသော ပရောဂျက်သည် Nios II Eclipse ဝင်းဒိုးရှိ Project Explorer တက်ဘ်အောက်တွင် ပေါ်လာသည်။ Project Explorer tab အောက်တွင် Right-click နှိပ်ပြီး Nios II ➤ Nios II Command Shell ကို ရွေးပါ။
ပုံ ၁၄။ Project Explorer- Nios II Command Shell - Nios II Command Shell တွင် အောက်ပါ command သုံးခုကို ရိုက်ထည့်ပါ- nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir အက်ပ် –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- .elf file အောက်ပါတည်နေရာတွင်ထုတ်လုပ်သည်-ample_dir>/ synthesis/ip_components/software/ /app
- .elf ကို ဘုတ်သို့ဒေါင်းလုဒ်လုပ်ရန် Nios II Command Shell တွင် အောက်ပါ command ကို ရိုက်ထည့်ပါ-
• Intel Stratix 10 အတွက်- nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 အတွက်- nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf
အွန်လိုင်းဗားရှင်း
တုံ့ပြန်ချက်ပေးပို့ပါ။
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP ဒီဇိုင်း Example အသုံးပြုသူလမ်းညွှန်
စာရွက်စာတမ်းများ / အရင်းအမြစ်များ
![]() |
Intel eCPRI Intel FPGA IP ဒီဇိုင်း [pdf] အသုံးပြုသူလမ်းညွှန် eCPRI Intel FPGA IP ဒီဇိုင်း၊ eCPRI၊ Intel FPGA IP ဒီဇိုင်း၊ FPGA IP ဒီဇိုင်း၊ IP ဒီဇိုင်း၊ ဒီဇိုင်း |